Search

Your search keyword '"Line edge roughness"' showing total 80 results

Search Constraints

Start Over You searched for: Descriptor "Line edge roughness" Remove constraint Descriptor: "Line edge roughness" Database Academic Search Index Remove constraint Database: Academic Search Index
80 results on '"Line edge roughness"'

Search Results

1. Line Edge Roughness Effects on the Thermoelectric Properties of Armchair Black Phosphorene Nanoribbons.

2. Integrated Automatic Optical Inspection and Image Processing Procedure for Smart Sensing in Production Lines.

3. Developmental framework of line edge roughness reference standards for next-generation functional micro-/nanostructures.

4. On Uses of Noise Analysis for the Uncertainty Quantification of Line Edge Roughness Estimation.

5. Coarse-Grained Modeling of EUV Patterning Process Reflecting Photochemical Reactions and Chain Conformations.

6. Increasing the Utilization of Deep Neural Networks for SEM Measurements Through Multiple Task Formulation and Visualization.

7. Design Impacts of Back-End-of-Line Line Edge Roughness.

8. CD metrology for EUV resist using high-voltage CD-SEM: shrinkage, image sharpness, repeatability, and line edge roughness.

9. Self-aligned blocking integration demonstration for critical sub-30-nm pitch Mx level patterning with EUV self-aligned double patterning.

10. Line edge roughness metrology: recent challenges and advances toward more complete and accurate measurements.

11. Measurement of pattern roughness and local size variation using CD-SEM.

12. Impact of line edge roughness on the performance of 14-nm FinFET: Device-circuit Co-design.

13. Photoresist and stochastic modeling.

14. Optimization of Nano-Grating Pitch Evaluation Method Based on Line Edge Roughness Analysis.

15. Characteristic diffuse scattering from distinct line roughnesses.

16. Investigation of metal-gate work-function variability in FinFET structures and implications for SRAM cell design.

17. The Spatial Resolution Limit for an Individual Domain Wall in Magnetic Nanowires.

18. Line edge roughness induced threshold voltage variability in nano-scale FinFETs.

19. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data.

20. Analytical model based estimation of line edge roughness induced [formula omitted] variability in nanowire FETs.

21. Sensitivity of secondary electron yields and SEM images to scattering parameters in MC simulations.

22. Resistivity of epitaxial copper nanolines with trapezoidal cross-section.

23. Extraction of roughness parameters at nanometer scale by Monte Carlo simulation of Critical Dimension Scanning Electron Microscopy.

24. In situ characterization of nano-scale pattern roughness during resist dissolution process.

25. Line edge roughness frequency analysis during pattern transfer in semiconductor fabrication.

26. Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology.

27. Simulations of Scatterometry Down to 22 nm Structure Sizes and Beyond with Special Emphasis on LER.

28. Line Edge Roughness and Cross Sectional Characterization of Sub-50 nm Structures Using Critical Dimension Small Angle X-ray Scattering.

29. Feasibility Study for High Energy SEM-Based Reference Measurement System for Litho Metrology.

30. Engineering the domain roughness of block copolymer in directed self-assembly.

31. Scatterometry performance enhancement by holistic approach.

32. Scatterometry performance enhancement by holistic approach.

33. Determination of line edge roughness in low-dose top-down scanning electron microscopy images.

34. Size and shape control of sub-20 nm patterns fabricated using focused electron beam-induced processing.

35. Molecular simulation of pattern formation in electron beam lithography.

36. Unbiased line edge roughness measurement using profile-averaging method for precise roughness parameters measurement.

37. Three-dimensional geometrical modeling of plasma transfer effects on line edge roughness: comparison with experiments and rules of thumb.

38. Atomic force microscopy study of photoresist sidewall smoothing and line edge roughness transfer during gate patterning.

39. Critical dimension uniformity and contact edge roughness in extreme ultraviolet lithography: effect of photoacid generator, sensitizer and quencher.

40. Off-plane diffraction of extreme ultraviolet light caused by line width roughness

41. Etching characteristics of silicon oxide using amorphous carbon hard mask in dual-frequency capacitively coupled plasma

42. Noise filtering of scanning-electron-microscope images for accurate analysis of line-edge and line-width roughness.

43. Characterization of Si nanowaveguide line edge roughness and its effect on light transmission

44. Statistical Enhancement of the Evaluation of Combined RDD- and LER-Induced VT Variability: Lessons From \10^5 Sample Simulations.

45. Understanding LER-Induced MOSFET VT Variability—Part II: Reconstructing the Distribution.

46. Understanding LER-Induced MOSFET VT Variability—Part I: Three-Dimensional Simulation of Large Statistical Samples.

47. Evaluation of statistical variability in 32 and 22nm technology generation LSTP MOSFETs

48. Fieldstitching with Kirchhoff-boundaries as a model based description for line edge roughness (LER) in scatterometry

49. Mesoscale simulation of molecular resists: The effect of PAG distribution homogeneity on LER

50. High resolution negative tone molecular resist based on di-functional epoxide polymerization

Catalog

Books, media, physical & digital resources