Search

Your search keyword '"Benini, Luca"' showing total 613 results

Search Constraints

Start Over You searched for: Author "Benini, Luca" Remove constraint Author: "Benini, Luca" Database OpenAIRE Remove constraint Database: OpenAIRE
613 results on '"Benini, Luca"'

Search Results

1. Land & Localize: An Infrastructure-free and Scalable Nano-Drones Swarm with UWB-based Localization

2. Flexible and Fully Quantized Ultra-Lightweight TinyissimoYOLO for Ultra-Low-Power Edge Systems

3. ITA: An Energy-Efficient Attention and Softmax Accelerator for Quantized Transformers

4. BioGAP: a 10-Core FP-capable Ultra-Low Power IoT Processor, with Medical-Grade AFE and BLE Connectivity for Wearable Biosignal Processing

5. A Survey on Deep Learning Hardware Accelerators for Heterogeneous HPC Platforms

6. PetaOps/W edge-AI µProcessors: Myth or reality?

7. Reduced Precision Floating-Point Optimization for Deep Neural Network On-Device Learning on MicroControllers

8. ColibriUAV: An Ultra-Fast, Energy-Efficient Neuromorphic Edge Processing UAV-Platform with Event-Based and Frame-Based Cameras

9. M100 ExaData: a data collection campaign on the CINECA's Marconi100 Tier-0 supercomputer

10. FlooNoC: A Multi-Tbps Wide NoC for Heterogeneous AXI4 Traffic

11. A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms

12. Sparse Stream Semantic Registers: A Lightweight ISA Extension Accelerating General Sparse Linear Algebra

13. TransLib: A Library to Explore Transprecision Floating-Point Arithmetic on Multi-Core IoT End-Nodes

14. Hybrid Modular Redundancy: Exploring Modular Redundancy Approaches in RISC-V Multi-Core Computing Clusters for Reliable Processing in Space

15. Deep Neural Network Architecture Search for Accurate Visual Pose Estimation aboard Nano-UAVs

16. Experimenting with Emerging RISC-V Systems for Decentralised Machine Learning

17. ColibriES: A Milliwatts RISC-V Based Embedded System Leveraging Neuromorphic and Neural Networks Hardware Accelerators for Low-Latency Closed-loop Control Applications

18. Bio-inspired Autonomous Exploration Policies with CNN-based Object Detection on Nano-drones

19. Towards a RISC-V Open Platform for Next-generation Automotive ECUs

20. Fast Shared-Memory Barrier Synchronization for a 1024-Cores RISC-V Many-Core Cluster

21. A High-performance, Energy-efficient Modular DMA Engine Architecture

22. A 3 TOPS/W RISC-V Parallel Cluster for Inference of Fine-Grain Mixed-Precision Quantized Neural Networks

23. SALSA: Simulated Annealing based Loop-Ordering Scheduler for DNN Accelerators

24. Free Bits: Latency Optimization of Mixed-Precision Quantized Neural Networks on the Edge

25. RedMule: A Mixed-Precision Matrix-Matrix Operation Engine for Flexible and Energy-Efficient On-Chip Linear Algebra and TinyML Training Acceleration

26. Energy-efficient Wearable-to-Mobile Offload of ML Inference for PPG-based Heart-Rate Estimation

27. Quark: An Integer RISC-V Vector Processor for Sub-Byte Quantized DNN Inference

28. Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference

29. CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space Exploration

30. Factorizers for Distributed Sparse Block Codes

31. Fully Printed Flexible Ultrasound Transducer for Medical Applications

32. MemPool: A Scalable Manycore Architecture with a Low-Latency Shared L1 Memory

33. A Fast and Accurate Optical Flow Camera for Resource-Constrained Edge Applications

34. Neuromorphic Optical Flow and Real-time Implementation with Event Cameras

35. Cheshire: A Lightweight, Linux-Capable RISC-V Host Platform for Domain-Specific Accelerator Plug-In

36. Echoes: a 200 GOPS/W Frequency Domain SoC with FFT Processor and I2S DSP for Flexible Data Acquisition from Microphone Arrays

37. Marsellus: A Heterogeneous RISC-V AI-IoT End-Node SoC with 2-to-8b DNN Acceleration and 30%-Boost Adaptive Body Biasing

38. Self-sustaining Ultra-wideband Positioning System for Event-driven Indoor Localization

39. TCN-CUTIE: A 1036 TOp/s/W, 2.72 uJ/Inference, 12.2 mW All-Digital Ternary Accelerator in 22 nm FDX Technology

40. HULK-V: a Heterogeneous Ultra-low-power Linux capable RISC-V SoC

41. HexaMesh: Scaling to Hundreds of Chiplets with an Optimized Chiplet Arrangement

42. AXI-Pack: Near-Memory Bus Packing for Bandwidth-Efficient Irregular Workloads

43. In-memory factorization of holographic perceptual representations

44. Near-Sensor Analytics and Machine Learning for Long-Term Wearable Biomedical Systems

45. EEGformer: Transformer-Based Epilepsy Detection on Raw EEG Traces for Low-Channel-Count Wearable Continuous Monitoring Devices

46. In-memory Realization of In-situ Few-shot Continual Learning with a Dynamically Evolving Explicit Memory

47. An Optimized Heart Rate Detection System Based on Low-Power Microcontroller Platforms for Biosignal Processing

48. MiniFloat-NN and ExSdotp: An ISA Extension and a Modular Open Hardware Unit for Low-Precision Training on RISC-V Cores

49. Robust and Efficient Depth-based Obstacle Avoidance for Autonomous Miniaturized UAVs

50. Training Quantised Neural Networks with STE Variants: the Additive Noise Annealing Algorithm

Catalog

Books, media, physical & digital resources