35 results on '"Kshitij Bhardwaj"'
Search Results
2. Primary Cardiac Sarcoma: Clinical Characteristics and Prognostic Factors Over the Past 2 Decades
- Author
-
Ayrton Bangolo, Pierre Fwelo, Kritika M Iyer, Sarah Klinger, Lorena Tavares, Shraboni Dey, Angel Ann Chacko, Myat Hein, Samyukta Gudena, Gbenga Lawal, Barath P. Sivasubramanian, Zekordavar Rimba, Kinjal Hirpara, Merajunnissa Merajunnissa, Swathi Veliginti, Georgemar Arana, Dily T. Sathyarajan, Sachin Singh, Tanvi Shetty, Kshitij Bhardwaj, Sayed Hashemy, Roberto L. Duran, Sung H. Kim, Candice M. Hipolito, Kibo Yoon, Vrusha Patel, Aseel Alshimari, Pugazhendi Inban, Saaniya Yasmeen, Krushika Devanaboyina, Gulshan Kumar, Saran Preet, Mishgan Akhtar, Ayanleh Abdi, Navya Nalajala, Syed F.M. Rizvi, Bhavna Gupta, and Simcha Weissman
- Subjects
primary cardiac sarcoma ,SEER database ,prognostic factors ,clinical characteristics ,General Medicine - Abstract
Background: Primary cardiac sarcomas (PCS) are extremely rare malignant tumors involving the heart. Only isolated case reports have been described in the literature over different periods of time. This pathology has been associated with a dismal prognosis and given its rarity; treatment options are very limited. Furthermore, there are contrasting data about the effectiveness of current treatment modalities in improving the survival of patients with PCS, including surgical resection which is the mainstay of therapy. There is a paucity of data on the epidemiological characteristics of PCS. This study has the objective of investigating the epidemiologic characteristics, survival outcomes, and independent prognostic factors of PCS. Methods: A total of 362 patients were ultimately registered in our study from the Surveillance, Epidemiology, and End Results (SEER) database. The study period was from 2000 to 2017. Demographics such as clinical characteristics, overall mortality (OM), and PCS-specific mortality (CSM) were taken into account. A p value of
- Published
- 2023
- Full Text
- View/download PDF
3. Automatic Domain-Specific SoC Design for Autonomous Unmanned Aerial Vehicles
- Author
-
Srivatsan Krishnan, Zishen Wan, Kshitij Bhardwaj, Paul Whatmough, Aleksandra Faust, Sabrina Neuman, Gu-Yeon Wei, David Brooks, and Vijay Janapa Reddi
- Published
- 2022
- Full Text
- View/download PDF
4. Cost-Effective and Flexible Asynchronous Interconnect Technology for GALS Systems
- Author
-
Steven M. Nowick, Kshitij Bhardwaj, Alberto Ghiribaldi, Davide Bertozzi, Weiwei Jiang, Greg Sadowski, Wayne Burleson, and Gabriele Miorandi
- Subjects
low power ,Computer science ,asynchronous networks-on-chip ,02 engineering and technology ,Network topology ,on-chip interconnection network ,NO ,020202 computer hardware & architecture ,Power (physics) ,Asynchrony (computer programming) ,asynchronous design ,Computer architecture ,Hardware and Architecture ,Asynchronous communication ,0202 electrical engineering, electronic engineering, information engineering ,GALS ,CAD tool flow ,Electrical and Electronic Engineering ,Software ,GALS, on-chip interconnection network, asynchronous networks-on-chip, asynchronous design, CAD tool flow, bundled data, low power ,bundled data - Abstract
In this article, a novel interconnect technology is presented for the cost-effective and flexible design of asynchronous networks-on-chip. It delivers asynchrony in heterogeneous system integration while yielding low-energy on-chip data movement. The approach consists of both a lightweight asynchronous switch architecture (using transition-signaling protocols and bundled-data encoding) and a complete synthesis flow built on top of mainstream industrial CAD tools. For the first time, this article demonstrates compelling area, performance and power benefits when compared to a recent commercial synchronous switch, and the ability of the tool flow to correctly instantiate a complete and competitive network topology.
- Published
- 2021
- Full Text
- View/download PDF
5. An Asynchronous Soft Macro for Ultra-Low Power Communication in Neuromorphic Computing
- Author
-
Davide Bertozzi, Kshitij Bhardwaj, and Steven M. Nowick
- Published
- 2022
- Full Text
- View/download PDF
6. The Sky Is Not the Limit: A Visual Performance Model for Cyber-Physical Co-Design in Autonomous Machines
- Author
-
Gu-Yeon Wei, Paul N. Whatmough, Vijay Janapa Reddi, Kshitij Bhardwaj, Aleksandra Faust, Zishen Wan, David Brooks, and Srivatsan Krishnan
- Subjects
Optimal design ,Computer science ,Cyber-physical system ,Control engineering ,Mobile robot ,02 engineering and technology ,Robot learning ,020202 computer hardware & architecture ,Visualization ,Hardware and Architecture ,Limit (music) ,0202 electrical engineering, electronic engineering, information engineering ,Systems architecture ,Robot - Abstract
We introduce the “Formula-1” (F-1) roofline model to understand the role of computing in aerial autonomous machines. The model provides insights by exploiting the fundamental relationships between various components in an aerial robot, such as sensor framerate, compute performance, and body dynamics (physics). F-1 serves as a tool that can aid computer and cyber-physical system architects to understand the optimal design (or selection) of various components in the development of autonomous machines.
- Published
- 2020
- Full Text
- View/download PDF
7. Unsupervised Test-Time Adaptation of Deep Neural Networks at the Edge: A Case Study
- Author
-
Kshitij Bhardwaj, James Diffenderfer, Bhavya Kailkhura, and Maya Gokhale
- Published
- 2022
- Full Text
- View/download PDF
8. Leveraging Prediction Confidence For Versatile Optimizations to CNNs
- Author
-
Saksham Sharma, Sparsh Mittal, Vanshika V Bhargava, Aditya Singh, and Kshitij Bhardwaj
- Subjects
Approximate computing ,Correctness ,Computer science ,Computation ,Algorithm ,Convolutional neural network ,Energy (signal processing) ,Residual neural network - Abstract
Modern convolutional neural networks (CNNs) incur huge computational and energy overheads. In this paper, we propose two techniques for inferring the confidence in the correctness of a prediction in the early layers of a CNN. The first technique uses a statistical approach, whereas the second technique requires retraining. We argue that prediction confidence estimation can enable diverse optimizations to CNNs. We demonstrate two optimizations. First, we predict selected images in early layers. This is possible because in a dataset, many images are easy to predict and they can be predicted in the early layers of a CNN. This reduces the average computation count at the cost of accuracy and parameter count. Second, we propose predicting only selected images for which the prediction-confidence is high. This reduces the coverage; however, the accuracy on the images that are predicted is higher. Our results with VGG16 and ResNet50 CNNs on the Caltech256 dataset show that our techniques are effective. For example, for ResNet, our first technique reduces the accuracy from 71.6% to 69.8% while reducing the computations by 14%. Similarly, with the second technique, on reducing the coverage from 100% to 90%, the accuracy is increased from 71.6% to 75.6%. Keywords: computer vision, CNN, approximate computing, accuracy-coverage tradeoff, prediction confidence
- Published
- 2021
- Full Text
- View/download PDF
9. The role of the bio-psychosocial model in public health
- Author
-
Sumbul Syed, Kshitij Bhardwaj, W Saket Nagar, Kanpur Up, India, and Shiraz Syed
- Subjects
Biopsychosocial model ,medicine.medical_specialty ,Environmental health ,Public health ,medicine ,Psychology - Abstract
It is pertinent to understand the role of factors in the development of the diseases. After careful recognition a healthcare worker can better direct an appropriate treatment response. The bio-psychosocial model showed how biology, psychology and socio-environmental factors play a role in health. In contrast, biomedical model assumed that each occurrence of the disease was a result of deviation of biological molecules inside the body. The bio-psychosocial model is a stronger model focusing more on the person as a whole rather on the illness alone. It has generated a new perception to see the health of the population. It has broadened the horizon of public health agencies to see and examine the illness with a different approach and to provide better results in the preventative approaches. This review highlights the importance of the bio-psychosocial model in public health.
- Published
- 2020
- Full Text
- View/download PDF
10. Determining Optimal Coherency Interface for Many-Accelerator SoCs Using Bayesian Optimization
- Author
-
Marton Havasi, Kshitij Bhardwaj, Yuan Yao, Jose Miguel Hernendez Lobato, Gu-Yeon Wei, and David Brooks
- Subjects
Hardware_MEMORYSTRUCTURES ,Memory hierarchy ,Computer science ,Interface (computing) ,Bayesian optimization ,Image processing ,02 engineering and technology ,Exascale computing ,Bottleneck ,020202 computer hardware & architecture ,Computer engineering ,Hardware and Architecture ,0202 electrical engineering, electronic engineering, information engineering ,Cache ,Coherence (physics) - Abstract
The modern system-on-chip (SoC) of the current exascale computing era is complex. These SoCs not only consist of several general-purpose processing cores but also integrate many specialized hardware accelerators. Three common coherency interfaces are used to integrate the accelerators with the memory hierarchy: non-coherent,coherent with the last-level cache (LLC), and fully-coherent.However, using a single coherence interface for all the accelerators in an SoC can lead to significant overheads: in the non-coherent model, accelerators directly access the main memory, which can have considerable performance penalty; whereas in the LLC-coherent model, the accelerators access the LLC but may suffer from performance bottleneck due to contention between several accelerators; and the fully-coherent model, that relies on private caches, can incur non-trivial power/area overheads. Given the limitations of each of these interfaces, this paper proposes a novel performance-aware hybrid coherency interface, where different accelerators use different coherency models, decided at design time based on the target applications so as to optimize the overall system performance. A new Bayesian optimization based framework is also proposed to determine the optimal hybrid coherency interface, i.e., use machine learning to select the best coherency model for each of the accelerators in the SoC in terms of performance. For image processing and classification workloads, the proposed framework determined that a hybrid interface achieves up to 23 percent better performance compared to the other ’homogeneous‘ interfaces, where all the accelerators use a single coherency model.
- Published
- 2019
- Full Text
- View/download PDF
11. A Continuous-Time Replication Strategy for Efficient Multicast in Asynchronous NoCs
- Author
-
Kshitij Bhardwaj and Steven M. Nowick
- Subjects
Router ,Multicast ,Network packet ,Computer science ,Multicast network ,business.industry ,Mesh networking ,Throughput ,Network congestion ,Hardware and Architecture ,Asynchronous communication ,Electrical and Electronic Engineering ,Unicast ,business ,Software ,Computer network - Abstract
Multicast communication (one-to-many) is common in parallel architectures and emerging areas, such as neuromorphic computing. However, there is very limited research in supporting multicast in asynchronous networks-on-chip (NoCs). This paper proposes a new parallel multicast asynchronous NoC with a 2-D mesh topology. To the best of our knowledge, this is the first general-purpose asynchronous NoC to support multicast in 2-D meshes. A critical feature of this NoC is the use of a new continuous-time replication strategy, where the flits of a multicast packet are routed through the distinct outputs of the router according to each output’s own rate, in parallel, and in continuous time. This unique asynchronous continuous-time replication, not discretized to clock cycles, can handle subtle variations in network congestion and exploit “subcycle” differentials in operating speeds. A new continuous-time multiway read (CMR) buffer is proposed to enable this replication strategy. Only a single CMR buffer is used per input port, with multiple independent read pointers, which is accessed by different outputs. For diverse multicast benchmarks, the new parallel multicast network is achieved significant latency and throughput gains over a serial baseline. Interestingly, consistent latency improvements were observed for unicast, in spite of the extra instrumentation.
- Published
- 2019
- Full Text
- View/download PDF
12. A comprehensive methodology to determine optimal coherence interfaces for many-accelerator SoCs
- Author
-
Yuan Yao, David Brooks, Marton Havasi, Kshitij Bhardwaj, Gu-Yeon Wei, and José Miguel Hernández-Lobato
- Subjects
Hardware_MEMORYSTRUCTURES ,Computer engineering ,Memory hierarchy ,Computer science ,Interface (computing) ,020208 electrical & electronic engineering ,Bayesian optimization ,0202 electrical engineering, electronic engineering, information engineering ,02 engineering and technology ,Coherence (statistics) ,Cache ,020202 computer hardware & architecture ,Power (physics) - Abstract
Modern systems-on-chip (SoCs) include not only general-purpose CPUs but also specialized hardware accelerators. Typically, there are three coherence model choices to integrate an accelerator with the memory hierarchy: no coherence, coherent with the last-level cache (LLC), and private cache based full coherence. However, there has been very limited research on finding which coherence models are optimal for the accelerators of a complex many-accelerator SoC. This paper focuses on determining a cost-aware coherence interface for an SoC and its target application: find the best coherence models for the accelerators that optimize their power and performance, considering both workload characteristics and system-level contention. A novel comprehensive methodology is proposed that uses Bayesian optimization to efficiently find the cost-aware coherence interfaces for SoCs that are modeled using the gem5-Aladdin architectural simulator. For a complete analysis, gem5-Aladdin is extended to support LLC coherence in addition to already-supported no coherence and full coherence. For a heterogeneous SoC targeting applications with varying amount of accelerator-level parallelism, the proposed framework rapidly finds cost-aware coherence interfaces that show significant performance and power benefits over the other commonly-used coherence interfaces.
- Published
- 2020
- Full Text
- View/download PDF
13. A Qualitative Approach for Enhancing the Nutritional Properties of Palm Olein and Flaxseed Oil
- Author
-
Kshitij Bhardwaj
- Subjects
Palm olein ,Chemistry ,Food science - Published
- 2020
- Full Text
- View/download PDF
14. Chronic Supplementation of Melatonin restores Impaired Circadian Rhythm in Patients with Coronary Artery Disease
- Author
-
Shipra Bhardwaj, Kshitij Bhardwaj, Varun S Narain, Saumya Mishra, Narsingh Verma, C Venkata S Ram, and Vinod Kumar
- Subjects
Coronary artery disease ,Melatonin ,medicine.medical_specialty ,Endocrinology ,business.industry ,Internal medicine ,medicine ,Cardiology ,In patient ,Circadian rhythm ,business ,medicine.disease ,medicine.drug - Published
- 2017
- Full Text
- View/download PDF
15. SMAUG: End-to-End Full-Stack Simulation Infrastructure for Deep Learning Workloads
- Author
-
Yuan Yao, David Brooks, Kshitij Bhardwaj, Paul N. Whatmough, Gu-Yeon Wei, and Sam Likun Xi
- Subjects
FOS: Computer and information sciences ,Computer Science - Machine Learning ,Speedup ,Computer science ,Pipeline (computing) ,02 engineering and technology ,computer.software_genre ,Network topology ,01 natural sciences ,Machine Learning (cs.LG) ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,010302 applied physics ,business.industry ,Deep learning ,020202 computer hardware & architecture ,Microarchitecture ,Software framework ,Computer architecture ,Computer Science - Distributed, Parallel, and Cluster Computing ,Hardware and Architecture ,Hardware acceleration ,Artificial intelligence ,Distributed, Parallel, and Cluster Computing (cs.DC) ,business ,computer ,Software ,Information Systems ,Efficient energy use - Abstract
In recent years, there has been tremendous advances in hardware acceleration of deep neural networks. However, most of the research has focused on optimizing accelerator microarchitecture for higher performance and energy efficiency on a per-layer basis. We find that for overall single-batch inference latency, the accelerator may only make up 25-40%, with the rest spent on data movement and in the deep learning software framework. Thus far, it has been very difficult to study end-to-end DNN performance during early stage design (before RTL is available) because there are no existing DNN frameworks that support end-to-end simulation with easy custom hardware accelerator integration. To address this gap in research infrastructure, we present SMAUG, the first DNN framework that is purpose-built for simulation of end-to-end deep learning applications. SMAUG offers researchers a wide range of capabilities for evaluating DNN workloads, from diverse network topologies to easy accelerator modeling and SoC integration. To demonstrate the power and value of SMAUG, we present case studies that show how we can optimize overall performance and energy efficiency for up to 1.8-5x speedup over a baseline system, without changing any part of the accelerator microarchitecture, as well as show how SMAUG can tune an SoC for a camera-powered deep learning pipeline., 14 pages, 20 figures
- Published
- 2019
16. Fats and Oils for Health Promotion and Disease Prevention
- Author
-
Ram B. Singh, Anuj Maheshwari, Kshitij Bhardwaj, Daniel Pella, Narsingh Verma, Banshi Saboo, and Viola Vargova
- Subjects
food.ingredient ,Phytosterol ,Rice bran oil ,food and beverages ,medicine.disease ,chemistry.chemical_compound ,food ,Vegetable oil ,Nutrient ,chemistry ,Saturated fatty acid ,medicine ,Stearic acid ,Food science ,Metabolic syndrome ,Canola - Abstract
Fats and oils are the most important component of diet because one-fourth of the total per day dietary energy appears to be supplied by these fatty acids. Further randomized trials indicate that olive oil, mustard oil, or rape seed oil may be superior to other oils because these oils in conjunction with fruits, vegetables, nuts, and whole grains have been reported to cause significant decline in cardiovascular events and type 2 diabetes. Olive oil is rich in polyphenols and monounsaturated fatty acids (MUFA), whereas canola oil and mustard are rich sources of MUFA and omega-3 fatty acids, which are known to have beneficial effects in the prevention of obesity and metabolic syndrome. Rice bran oil contains orygenol, and sesame oil phytosterol which are potential antioxidants causing decline in risk factors of cardiometabolic diseases. Most of the other oils are rich in omega-6 fatty acids which may have adverse effects on pro-inflammatory markers of noncommunicable diseases. Flexed seed oil contains 54% omega-3 fatty acids which may be added in other blends to provide optimal content of these fatty acids. A new blend of fats and oils may be developed by the vegetable oil industry to provide all necessary fatty acids and other potential nutrients in an optimal ratio for health promotion and disease prevention. Stearic acid, a type of saturated fatty acid found in clarified butter, is known to increase high-density lipoprotein cholesterol and has antiplatelet effects; hence a limited amount of butter may be allowed, in subjects with a healthy lifestyle.
- Published
- 2019
- Full Text
- View/download PDF
17. List of Contributors
- Author
-
Maria Abramova, Saikat K. Basu, Shigeru Beppu, Maria Alexandra Sardinha Bernardo, Kshitij Bhardwaj, Harpal S. Buttar, William Cetzal-Ix, Tanya Charkrabarti, Anil K. Chauhan, Hilton Chaves, Sergey Chibisov, Germaine Cornelissen, Eric Banan-Mwine Daliri, J. Febin Prabhu Dass, Amit Krishna De, Minakshi De, Galal Nagib Elkilany, Keio Endo, Jan Fedacko, Al Mukhlas Fikri, Narayan Ghorai, Aditya K. Gupta, Anna Gvozdjakova, Ghazi Halabi, Ester Halmy, Svetoslav Handjiev, Teodora Handjieva-Darlenska, Kyle D. Hilsabeck, Rie Horiuchi, Krasimira Hristova, Laila Hussein, Adrian Isaza, Arunporn Itharat, Poonam Jaglan, Peter Jarcuska, Lekh R. Juneja, Nurbani Kalsum, Tom C. Karagiannis, Kumar Kartikey, Shairy Khan, Jarmila Kucharská, Pramod Kumar, Aneliya Kuzeva, Byong H. Lee, Mahani Mahani, Anuj Maheshwari, Kamlesh K. Maurya, D. Elizabeth McCord, Maria Fernanda de Mesquita, Richa Mishra, Andrzej Frycz Modrzewski, Viliam Mojto, Mária Mojtová, Masanori Nakajou, Deog H. Oh, Ekasit Onsaard, Daniel Pella, Dominic Pella, Dominik Pella, Wiriya Phomkong, Shantanav S. Rao, Nancy B. Ray, Banshi Saboo, Deepak Sah, Ratnabali Sengupta, Jagdish P. Sharma, Anand R. Shewale, Maria Leonor Tavares da Silva, Amrat K. Singh, Garima Singh, Jaipaul Singh, Meenakshi Singh, Mukta Singh, Ram B. Singh, Rana G. Singh, Reema Singh, Vaishali Singh, Shantanu Singhal, Manushi Srivastav, Ratan Srivastav, Ahmad Sulaeman, Toru Takahashi, Norihiro Takei, István G. Télessy, Rukam S. Tomar, Abhishek D. Tripathi, Mari Uehara, Ratna Upadhyay, Oľga Vančová, Viola Vargova, Narsingh Verma, Shaw Watanabe, Ronald R. Watson, Sanit Wichansawakun, Agnieszka Wilczynska, Douglas W. Wilson, Poonam Yadav, and Peiman Zandi
- Published
- 2019
- Full Text
- View/download PDF
18. Study of Association between Platelet Function and Anthropometry of Blood Donors in a Single Institution
- Author
-
Rina Kumari, Somesh Raju, Tulika Chandra, Narsingh Verma, Sunita Tiwari, and Kshitij Bhardwaj
- Subjects
medicine.medical_specialty ,business.industry ,Internal medicine ,Association (object-oriented programming) ,medicine ,Platelet ,General Medicine ,Single institution ,Anthropometry ,business - Published
- 2016
- Full Text
- View/download PDF
19. Inter arm systolic blood pressure difference is associated with a high prevalence of cardio vascular diseases
- Author
-
Somesh Raju, Rina Kumai, Kshitij Bhardwaj, Pradeep Kumar, and Narsingh Verma
- Subjects
medicine.medical_specialty ,Waist ,business.industry ,030204 cardiovascular system & hematology ,medicine.disease ,Obesity ,Prehypertension ,03 medical and health sciences ,0302 clinical medicine ,Blood pressure ,Internal medicine ,Cardiovascular Disorder ,Diabetes mellitus ,medicine ,Cardiology ,Physical therapy ,030212 general & internal medicine ,Family history ,business ,Body mass index - Abstract
Background: Blood pressure (BP) recordings often differ between arms. This study is aimed to observe the presence of inter-arm blood pressure difference and association with hypertension or diabetes. The objective of the study was to establish the prevalence of an inter-arm blood pressure difference and explore its association with obesity and cardiovascular disorder. Methods: A cross-sectional study conducted at King George’s Medical College, Lucknow, India among 100 first year MBBS students. After taking verbal consent the age, height, weight, waist circumference, hip circumference and family history of hypertension or diabetes were recorded. Results: The systolic blood pressure on right arm was 118.8±11.5 mmHg and 11.7±7.72 mmHg left arm. Result significantly showed higher mean systolic blood pressure on right arm. There were 54, 17 and 29 participants with inter-arm systolic blood pressure difference of 30). Out of 100 subjects, 11 subject having inter-arm systolic blood pressure difference ≥10 mmHg was associated with a family history of diabetes or hypertension. Conclusions: Presence of inter-arm blood pressure difference with having family history of hypertension or diabetes is more susceptible to develop cardiovascular disorder in future.
- Published
- 2016
- Full Text
- View/download PDF
20. Unbalanced omega ratio and omega 3 deficiencies in world makes our immune system less effective to fight with virus and other infections
- Author
-
Kshitij Bhardwaj and Narsingh Verma
- Subjects
Immune system ,business.industry ,Omega ratio ,Immunology ,Medicine ,business ,Omega ,Virus - Abstract
According to the report of a global survey of the omega-3 fatty acids. majorities of countries in the world are facing the deficiency of essential fatty acids specially of omega 3, this very low level of essential fatty acid leads to increase global risk for chronic disease. Many reports are published about the role of omega 3 on the immune system in health and in diseases, especially those caused by the excessive inflammatory response. Numerous studies have shown that these compounds are immunoregulatory and immunosuppressive and thus may increase susceptibility to infection. They also manipulate the functions of antigen-presenting cells and lymphocytes, including T and B cells, NK cells, LAK cells and also T regulatory cells. In this article, we made a simple attempt to elucidate the effect of omega-3 deficiency in our immune system, especially during the virus and other infections. In this period of severe virus infections studies on omega3 and its role in immune is of great Interest.
- Published
- 2020
- Full Text
- View/download PDF
21. Effect of essential fatty acid blend on circadian variations of ambulatory blood pressure in patients with essential hypertension and coronary artery disease risk markers
- Author
-
Kshitij Bhardwaj, Narsingh Verma, R.K. Trivedi, and Shipra Bhardwaj
- Subjects
Antioxidant ,Ambulatory blood pressure ,Linseed Oil ,medicine.medical_treatment ,Physiology ,Blood Pressure ,Coronary Artery Disease ,030204 cardiovascular system & hematology ,Essential hypertension ,03 medical and health sciences ,0302 clinical medicine ,Essential fatty acid ,Internal Medicine ,Medicine ,Humans ,In patient ,030212 general & internal medicine ,Circadian rhythm ,Antihypertensive Agents ,chemistry.chemical_classification ,medicine.diagnostic_test ,Fatty Acids, Essential ,business.industry ,Blood Pressure Monitoring, Ambulatory ,medicine.disease ,Blood pressure ,chemistry ,Dietary Supplements ,Hypertension ,Essential Hypertension ,business ,Lipid profile - Abstract
Flaxseed oil is an excellent source of alpha-linolenic acid ALA known for its antihypertensive action. Flaxseed oil is unstable and its dose is not achievable in our conventional diet hence blending of natural flaxseed oil with palm oil, containing high flavonoids may be a better strategy, to stabilize the blend and provide proper balance of essential fatty acids and potential antioxidant effects. Aim of this study was to access the effect of blended flaxseed oil on 24 h 7-day circadian variations of blood pressure in subjects with essential hypertension. Assessment of CHAT (circadian hyper amplitude tension) using ABPM is the best marker for cardiovascular function. We registered 50 subjects with CAD (Group 1) and 50 subjects with Essential hypertension HT (Group 2) and 52 control subjects with CAD/Hypertension. Group 1 and 2 participant was supplemented with blended flaxseed oil (BFO) (30 ml/day) for the period of 24 weeks, while control group administered available oils sunflower in identical containers, for a follow-up period of 24 weeks. Twenty four hour seven days continuous ambulatory blood pressure monitoring was done initially and after six months of BFO supplementation. There were significant changes noted in lipid profile along with Ambulatory blood pressure parameters like MESOR, ACROPHASE, Hyperbaric Indexes, and CHAT. Circadian hyper amplitude tension (CHAT) incidence decreased after BFO supplementation. Study results suggest that blended flaxseed oil administration can be a potent natural vegetarian antihypertensive supplement which helps in synchronization of cardiac rhythms, and can be used as a preventive treatment of hypertension and as effective vegetarian source of maintaining Omega 3 and omega 6 ratios of essential fatty acids in the body.
- Published
- 2018
22. Significance of Ratio of Omega-3 and Omega-6 in Human Health with Special Reference to Flaxseed Oil
- Author
-
Neha Shukla, Kshitij Bhardwaj, Narsingh Verma, R.K. Trivedi, and Shipra Bhardwaj
- Subjects
0301 basic medicine ,Pharmacology ,03 medical and health sciences ,Human health ,030104 developmental biology ,Food science ,Biology ,Biochemistry ,Omega - Published
- 2015
- Full Text
- View/download PDF
23. Flaxseed Oil and Diabetes: A Systemic Review
- Author
-
Narsingh Verma, Shipra Bhardwaj, R.K. Trivedi, and Kshitij Bhardwaj
- Subjects
medicine.medical_specialty ,business.industry ,Internal medicine ,Diabetes mellitus ,medicine ,General Medicine ,medicine.disease ,business - Published
- 2015
- Full Text
- View/download PDF
24. Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm
- Author
-
Koushik Chakraborty, Dean Michael Ancajas, Kshitij Bhardwaj, and Sanghamitra Roy
- Subjects
Static routing ,Mean time between failures ,Engineering ,business.industry ,Network packet ,Distributed computing ,Fault tolerance ,Reduction (complexity) ,Hardware and Architecture ,Multipath routing ,Hardware_INTEGRATEDCIRCUITS ,Algorithm design ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,business ,Software ,Computer network - Abstract
Continuous technology scaling has made aging mechanisms, such as negative bias temperature instability and electromigration primary concerns in network-on-chip (NoC) designs. In this paper, we extensively analyze the effects of these aging mechanisms on NoC routers and links. We observe a critical need of a robust aging-aware routing algorithm that not only reduces power-performance overheads caused due to aging degradation, but also minimizes the stress experienced by heavily utilized routers and links. To solve this problem, we propose an aging-aware adaptive routing algorithm and a router microarchitecture that routes the packets along the paths, which are both least congested and experience minimum aging degradation. After an extensive experimental analysis using real workloads, we observe 13% and 12.17% average overhead reduction in network latency and energy–delay product per flit, a 10.4% improvement in performance, and a 60% improvement in mean time to failure using our aging-aware routing algorithm.
- Published
- 2015
- Full Text
- View/download PDF
25. Effect of long term supplementation of active garlic allicin in reducing blood pressure in hypertensive subjects
- Author
-
Narsingh Verma, Kshitij Bhardwaj, Saumya Mishra, Manish Kumar Verma, and Shipra Bhardwaj
- Subjects
medicine.medical_specialty ,food.ingredient ,Allicin ,biology ,business.industry ,food and beverages ,Alliin ,Health records ,Surgery ,chemistry.chemical_compound ,food ,Blood pressure ,chemistry ,Herb ,Alliinase ,biology.protein ,Blood cholesterol ,Medicine ,Extraction methods ,Food science ,business - Abstract
Background: Researchers all over the world have independently shown the effect of allicin in reducing blood pressure depends on its extraction and concentration. Among the active constituents in garlic, the principal component is allicin. It is not present in the intact garlic clove but is produced together with pyruvate and ammonia from the odourless precursor alliin (S-allyl-L-cysteine sulfoxide) in the presence of the enzyme alliinase; Allin and allinase are found in different compartments of the garlic clove and are brought into contact to produce allicin only by cutting or crushing the clove. If garlic is processed and extracted, using oil, the activity of the sulphur compounds is sealed which could not be readily used by the body. Study aim to use pure raw and active form of sulphur from garlic and observe the effect of associated allicin on hypertensive subjects . Methods: Extracted active sulphur chemicals and allicin from garlic by crushing fresh garlic cloves using water as extracting medium. Raw crushed 25 gm. garlic clove with water was given twice daily to 100 hypertensive subjects, 60 males and 40 females of 30-55 years of age for the period of six months. Health records of each subjects was individually maintained. Blood pressure was measured initially, after three months and finally after six months. Statistically comparison was made between initial, three months and six months blood pressure data of the subjects . Results: This simple extraction method produce allicin yield of 100%, which reduced up to 10% (5mmHg) systolic and diastolic Blood pressure in subjects who were supplemented with garlic–allicin paste . Conclusions: Extraction quality and activity of allicin is dependent of mode of processing and extraction medium. Garlic is a basic food that augments the body health and lowers blood pressure as well as blood cholesterol. Garlic is an ideal herb for several cardiovascular supplements. It would be of value to further examine these effects of allicin in humans with hypertension. Future research will enable in vivo measurement of allicin and its pharmacological properties; physiological effects and mechanisms of action should be investigated further.
- Published
- 2015
- Full Text
- View/download PDF
26. Achieving Lightweight Multicast in Asynchronous NoCs Using a Continuous-Time Multi-Way Read Buffer
- Author
-
Kshitij Bhardwaj, Weiwei Jiang, and Steven M. Nowick
- Subjects
Multicast ,Protocol Independent Multicast ,Inter-domain ,business.industry ,Computer science ,Distributed computing ,ComputerSystemsOrganization_COMPUTER-COMMUNICATIONNETWORKS ,020208 electrical & electronic engineering ,Distance Vector Multicast Routing Protocol ,02 engineering and technology ,020202 computer hardware & architecture ,Source-specific multicast ,0202 electrical engineering, electronic engineering, information engineering ,Multicast address ,Xcast ,business ,Pragmatic General Multicast ,Computer network - Abstract
Multicast communication (1-to-many) is common in parallel architectures and emerging areas such as neuromorphic computing. However, there is very limited research in supporting multicast in asynchronous NoCs. This paper proposes a new parallel multicast asynchronous NoC with 2D-mesh topology. To the best of our knowledge, this is the first general-purpose asynchronous NoC to support multicast in 2D meshes. A critical feature of this NoC is the use of a new continuous-time replication strategy, where the flits of a multicast packet are routed through the distinct outputs of the router according to each output's own rate, in parallel and in continuous time. This unique asynchronous continuous-time replication, not discretized to clock cycles, can handle subtle variations in network congestion and exploit "sub-cycle" differentials in operating speeds. A new continuous-time multi-way read (CMR) buffer is proposed to enable this replication strategy. Only a single CMR buffer is used per input port, with multiple independent read pointers, which are accessed by different outputs. For diverse multicast benchmarks, the new parallel multicast network achieved significant latency and throughput gains over a serial baseline. Moderate energy overhead was seen for one benchmark with a small multicast portion, but major reductions were achieved for higher amounts of multicast. Interestingly, consistent latency improvements were observed for unicast, in spite of the extra instrumentation. Experiments on isolated multicast packet transmissions also showed over an order-of-magnitude improvement in delivery time.
- Published
- 2017
- Full Text
- View/download PDF
27. Variations in 7-day/24-h circadian pattern of ambulatory blood pressure and heart rate of type 2 diabetes patients
- Author
-
Shipra Bhardwaj, Narsingh Verma, Kshitij Bhardwaj, and Baby Anjum
- Subjects
medicine.medical_specialty ,Circadian hyper-amplitude-tension ,Midline-estimating statistic of rhythm ,Ambulatory blood pressure ,business.industry ,Endocrinology, Diabetes and Metabolism ,Diabetes ,Diastole ,Articles ,General Medicine ,Type 2 diabetes ,medicine.disease ,Endocrinology ,Blood pressure ,Internal medicine ,Diabetes mellitus ,Heart rate ,Internal Medicine ,Cardiology ,Medicine ,Circadian rhythm ,General health ,business - Abstract
Aims/Introduction Diabetes has profound consequences on the cardiovascular system leading to cardiovascular morbidity and mortality in diabetic patients. Blood pressure (BP) has a characteristic and reproducible circadian pattern, with high values during the day and low values at night. A 7-day timed analysis of BP through ambulatory blood pressure monitoring has been used not only to diagnose day and night dipping patterns of blood pressure, but also to measure day-to-day variability and the circadian hyper-amplitude-tension, a condition in which excessive circadian BP amplitude precedes the chronic established hypertension. Our objective was to assess the 7-day/24-h circadian pattern of BP and heart rate in diabetic patients, as it could be helpful in the diagnosis and prevention of cardiovascular morbidity. Materials and Methods A total of 50 diabetic patients with type 2 diabetes and 50 non-diabetic participants were recruited for the study. General health records were individually maintained, and 7-day/24-h ambulatory blood pressure monitoring using an ambulatory blood pressure monitor was carried out. Results The rhythmic parameters of systolic and diastolic BP, heart rate, double amplitude, acrophase and 3-h fractionated hyperbaric index were found to be significantly high in diabetic patients. A total of 12 participants were diagnosed with circadian hyper-amplitude-tension. These data suggest that diabetic patients have certain variations in the circadian pattern of blood pressure and heart rate, which can result in disturbed vascular events, and thus are at greater risk of cardiovascular morbidity. Conclusion Seven-day/24-h monitoring might be useful as an early predictive tool in assessing future cardiovascular risk, guiding treatment and management of these patients.
- Published
- 2014
- Full Text
- View/download PDF
28. Association of Diabetic Autonomic Neuropathy with Red Blood Cell Aldose Reductase Activity
- Author
-
Sunita Tiwari, Kshitij Bhardwaj, Sandeep Bhattacharya, Abbas Ali Mahdi, Kauser Usman, Narsingh Verma, and Pawan Kumar Gupta
- Subjects
Adult ,Blood Glucose ,Male ,medicine.medical_specialty ,Erythrocytes ,Endocrinology, Diabetes and Metabolism ,Blood Pressure ,Endocrinology ,Polyol pathway ,Diabetic Neuropathies ,Aldehyde Reductase ,Internal medicine ,Diabetes mellitus ,Internal Medicine ,medicine ,Humans ,Diabetic Autonomic Neuropathy ,Analysis of Variance ,Aldose reductase ,business.industry ,Aldose reductase activity ,General Medicine ,Middle Aged ,medicine.disease ,Red blood cell ,medicine.anatomical_structure ,Case-Control Studies ,Female ,Hemoglobin ,Autonomic neuropathy ,business - Abstract
Objective Activation of polyol pathway based on increased activity of aldose reductase (AR) has been implicated in the development of diabetic complications including diabetic autonomic neuropathy (DAN). The relationship between DAN and hyperglycemia-induced activation of polyol pathway is still uncertain. In the present study, we investigate the association between aldose reductase activity and diabetic autonomic neuropathy by measuring AR level in red blood cells (RBC). Method In this study, 145 subjects with diabetes with or without DAN and 32 subjects without diabetes have been included. All subjects have been investigated for autonomic function tests and RBC aldose reductase activity. DAN was defined if results of any 2 of the tests of parasympathetic function were abnormal. RBC aldose reductase level was determined spectrophotometrically and expressed as unit/g of hemoglobin. The values were expressed as mean ± standard deviation, and ANOVA test has been applied for comparison between groups. Results RBC aldose reductase activity was found to be significantly higher in people with diabetes with autonomic neuropathy in comparison to people with diabetes without autonomic neuropathy and healthy individuals without diabetes. Aldose reductase (AR) level ranges from 0.8 units/g Hb to 14.2 units/g Hb. The mean AR level was 8.6±2.95 units in subjects of DM with autonomic neuropathy, while mean AR level was 4.1±1.78 units and 2.0±0.89 units in people with diabetes without neuropathy and normal healthy individuals, respectively (p Conclusions High aldose reductase activity is associated with the presence of autonomic neuropathy in subjects of type 2 DM.
- Published
- 2014
- Full Text
- View/download PDF
29. Achieving lightweight multicast in asynchronous networks-on-chip using local speculation
- Author
-
Kshitij Bhardwaj and Steven M. Nowick
- Subjects
010302 applied physics ,Network architecture ,Protocol Independent Multicast ,Multicast ,Computer science ,Network packet ,business.industry ,Distributed computing ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,Source-specific multicast ,Non-broadcast multiple-access network ,Asynchronous communication ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Network performance ,Xcast ,Unicast ,business ,Pragmatic General Multicast ,Computer network - Abstract
We propose a lightweight parallel multicast targeting an asynchronous NoC with a variant Mesh-of-Trees topology. A novel strategy, local speculation, is introduced, where a subset of switches are speculative and always broadcast. These switches are surrounded by non-speculative switches, which throttle any redundant packets, restricting these packets to small regions. Speculative switches have simplified designs, thereby improving network performance. A hybrid network architecture is proposed to mix the speculative and non-speculative switches. For multicast benchmarks, significant performance improvements with small power savings are obtained by the new approach over a tree-based non-speculative approach. Interestingly, similar improvements are also shown for unicast. Finally, another benefit is to reduce the address field size in multicast packets.
- Published
- 2016
- Full Text
- View/download PDF
30. A10778 Effect of optimized dosage of flaxseed Blended oil on circadian variations of Ambulatory Blood Pressure in Patients with Essential Hypertension
- Author
-
R.K. Trivedi, Anuj Maheshwari, Kshitij Bhardwaj, R. B. Singh, and Narsingh Verma
- Subjects
medicine.medical_specialty ,Ambulatory blood pressure ,Physiology ,business.industry ,Essential hypertension ,medicine.disease ,Internal medicine ,Internal Medicine ,Cardiology ,Medicine ,In patient ,Circadian rhythm ,Cardiology and Cardiovascular Medicine ,business - Published
- 2018
- Full Text
- View/download PDF
31. A Lightweight Early Arbitration Method for Low-Latency Asynchronous 2D-Mesh NoC's
- Author
-
Steven M. Nowick, Kshitij Bhardwaj, Geoffray Lacourba, and Weiwei Jiang
- Subjects
Router ,Interconnection ,Engineering ,Channel allocation schemes ,Asynchronous communication ,business.industry ,Embedded system ,Arbitration ,Ranging ,Latency (engineering) ,business ,Network topology ,Computer network - Abstract
A new asynchronous low-latency interconnection network is introduced for a 2D mesh topology. The network-on-chip, named AEoLiAN, contains a fast lightweight monitoring network to notify the routers of incoming traffic, thereby allowing arbitration and channel allocation to be initiated in advance. In contrast, several recent synchronous early arbitration methods require significant resource overhead, including use of hybrid networks, or wide monitoring channels and additional VCs. The proposed approach has much smaller overhead, allowing a finer-grain router-by-router early arbitration, with monitoring and data advancing independently at different speeds. The new router was implemented in 45nm technology using a standard cell library. It had 52% lower area than a similar lightweight synchronous switch, xpipesLite, with no early arbitration capability. Network-level simulations were then performed on 6 diverse synthetic benchmarks in an 8×8 2D mesh network topology, and the performance of the new network was compared to an asynchronous baseline. Considerable improvements in system latency over all benchmarks for moderate traffic were obtained, ranging from 34.4–37.9%. Interestingly, the proposed acceleration technique also enabled throughput gains, ranging from 14.7–27.1% for the top 5 benchmarks. In addition, a zero-load end-to-end latency of only 4.9ns was observed, for the longest network path through 15 routers and 14 hops.
- Published
- 2015
- Full Text
- View/download PDF
32. Towards Graceful Aging Degradation in NoCs Through an Adaptive Routing Algorithm
- Author
-
Kshitij Bhardwaj, Sanghamitra Roy, and Koushik Chakraborty
- Subjects
Static routing ,Engineering ,Negative-bias temperature instability ,business.industry ,Network packet ,NBTI ,Reliability (computer networking) ,Real-time computing ,aging ,Electrical and Computer Engineering ,Circuit reliability ,routing algorithms ,electromigration ,Reduction (complexity) ,Network on a chip ,Hardware_INTEGRATEDCIRCUITS ,business ,NoC ,Degradation (telecommunications) ,Computer network - Abstract
Continuous technology scaling has made aging mechanisms such as Negative Bias Temperature Instability (NBTI) and electromigration primary concerns in Network-on-Chip (NoC) designs. In this paper, we model the effects of these aging mechanisms on NoC components such as routers and links using a novel reliability metric called Traffic Threshold per Epoch (TTpE). We observe a critical need of a robust aging-aware routing algorithm that not only reduces power-performance overheads caused due to aging degradation but also minimizes the stress experienced by heavily utilized routers and links. To solve this problem, we propose an aging-aware adaptive routing algorithm and a router microarchitecture that routes the packets along the paths which are both least congested and experience minimum aging stress. After an extensive experimental analysis using real workloads, we observe a 13%, 12.7% average overhead reduction in network latency and Energy-Delay-Product-Per-Flit (EDPPF) and a 10.4% improvement in performance using our aging-aware routing algorithm. © 2012 ACM.
- Published
- 2012
33. Power-Performance Yield optimization for MPSoCs using MILP
- Author
-
Kshitij Bhardwaj, Koushik Chakraborty, and Sanghamitra Roy
- Subjects
Process variation ,Mathematical optimization ,Linear programming ,Computer science ,Simulated annealing ,System on a chip ,Integer programming ,Stochastic programming ,Efficient energy use ,Scheduling (computing) - Abstract
In nanometer technology regime, process variation (PV) causes uncertainties in the processor frequency and leakage power, affecting the overall performance and energy efficiency of Multi-Processor System-on-Chips (MPSoCs). Mostly, the Power and Performance Yield optimizations are not done simultaneously while scheduling the tasks at the system level. We demonstrate the significance of optimizing both Power and Performance Yields simultaneously in task scheduling in order to minimize the effects of process variation at the system level. In this paper, we present process variation aware task scheduling algorithms and define a new design metric, called Power-Performance Yield (PPY) to guide the scheduling procedure. The PPY is modeled considering the spatial correlation characteristic of systematic process variation, log-normal distributions of leakage power and an energy-aware slack budgeting approach. We propose a novel mathematical formulation using Mixed Integer Linear Programming (MILP) technique and also employ an improved Simulated Annealing (SA) based stochastic technique for PPY optimization. The experimental results on TGFF generated random task graphs and E3S benchmark suite demonstrate average PPY improvements of 16.9% and 31% over two other SA based schemes that separately optimize Performance Yield and Power Yield, respectively. With accurate PV-aware modeling, we obtain average PPY improvements of 9.65% and 30.3% under strong correlations and 12.9% and 29.8% under weak correlations when compared to two other existing scheduling schemes that lack appropriate modeling.
- Published
- 2012
- Full Text
- View/download PDF
34. Energy and bandwidth aware mapping of IPs onto regular NoC architectures using Multi-Objective Genetic Algorithms
- Author
-
Kshitij Bhardwaj and R.K. Jena
- Subjects
Network on a chip ,Computer architecture ,Computer science ,Bandwidth (computing) ,Industrial property ,Parallel computing ,Multimedia system - Abstract
This paper presents energy and bandwidth aware topo-logical mapping of Intellectual Properties (IPs) onto regular tile-based Network-on-Chip (NoC) architectures. One-one mapping as well as many-many mapping are being taken in to consideration between switches and tiles in the proposed approach. In view of minimizing energy and link bandwidth requirements of the NoC-based designs, the approach focuses both the computational and communication synthesis. A Multi-Objective Genetic Algorithms (MOGA) based technique is used to find optimal solution from the pareto-optimal solutions. This technique has been implemented and evaluated for randomly generated benchmarks as well as real-life applications like multi-media system (MMS). The experimental results demonstrate savings up to 70% and 20% of energy and link bandwidth respectively. These results include performance evaluation of One-One vs. Many-Many mapping that clearly shows the effectiveness of the proposed approach.
- Published
- 2009
- Full Text
- View/download PDF
35. 1.5V high performance OP AMP using self cascode structure
- Author
-
Kshitij Bhardwaj and S. S. Rajput
- Subjects
Engineering ,Open-loop gain ,Analogue electronics ,business.industry ,Transistor ,Spice ,Electrical engineering ,law.invention ,Op amp integrator ,CMOS ,law ,Hardware_INTEGRATEDCIRCUITS ,Operational amplifier ,Electronic engineering ,business ,Low voltage - Abstract
An operational amplifier (Op Amp) based on self cascode structure is proposed that works with a supply voltage of ± .75 volt. It provides an open loop gain of 52dB with a unity gain frequency of about 17 MHz, with 145 microwatt of power consumption. Simulation results are based on p-spice simulation for 0.25μm CMOS technology.
- Published
- 2009
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.