Search

Your search keyword '"Sinanoglu, Ozgur"' showing total 50 results

Search Constraints

Start Over You searched for: Author "Sinanoglu, Ozgur" Remove constraint Author: "Sinanoglu, Ozgur" Database arXiv Remove constraint Database: arXiv
50 results on '"Sinanoglu, Ozgur"'

Search Results

1. ASCENT: Amplifying Power Side-Channel Resilience via Learning & Monte-Carlo Tree Search

2. LLMs and the Future of Chip Design: Unveiling Security Risks and Building Trust

3. TroLLoc: Logic Locking and Layout Hardening for IC Security Closure against Hardware Trojans

4. Always be Pre-Training: Representation Learning for Network Intrusion Detection with GNNs

5. Lightweight Countermeasures Against Static Power Side-Channel Attacks

6. AutoLock: Automatic Design of Logic Locking with Evolutionary Computation

7. FPGA-Patch: Mitigating Remote Side-Channel Attacks on FPGAs using Dynamic Patch Generation

8. Graph Neural Networks for Hardware Vulnerability Analysis -- Can you Trust your GNN?

9. PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems

10. DNN-Alias: Deep Neural Network Protection Against Side-Channel Attacks via Layer Balancing

11. ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning

12. TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection

13. Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs

14. X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks

15. Security Closure of IC Layouts Against Hardware Trojans

16. Hardware Trojan Threats to Cache Coherence in Modern 2.5D Chiplet Systems

17. Hide & Seek: Seeking the (Un)-Hidden key in Provably-Secure Logic Locking Techniques

18. AppGNN: Approximation-Aware Functional Reverse Engineering using Graph Neural Networks

19. Embracing Graph Neural Networks for Hardware Security (Invited Paper)

20. GNN4REL: Graph Neural Networks for Predicting Circuit Reliability Degradation

21. NeuroUnlock: Unlocking the Architecture of Obfuscated Deep Neural Networks

22. MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction

23. UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction

24. Coherence Attacks and Countermeasures in Interposer-Based Systems

25. UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking

26. GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking

27. 2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets

28. Attacking Split Manufacturing from a Deep Learning Perspective

29. Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET)

30. Benchmarking at the Frontier of Hardware Security: Lessons from Logic Locking

31. Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging

32. DynUnlock: Unlocking Scan Chains Obfuscated using Dynamic Keys

33. ScanSAT: Unlocking Static and Dynamic Scan Obfuscation

34. A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects

35. Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access

36. Toward Physically Unclonable Functions from Plasmonics-Enhanced Silicon Disc Resonators

37. 3D Integration: Another Dimension Toward Hardware Security

38. An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets

39. Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime

40. A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL

41. SMART: Secure Magnetoelectric AntifeRromagnet-Based Tamper-Proof Non-Volatile Memory

42. Protect Your Chip Design Intellectual Property: An Overview

43. Best of Both Worlds: Integration of Split Manufacturing and Camouflaging into a Security-Driven CAD Flow for 3D ICs

44. Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices

45. Raise Your Game for Split Manufacturing: Restoring the True Functionality Through BEOL

46. Advancing Hardware Security Using Polymorphic and Stochastic Spin-Hall Effect Devices

47. Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing

48. Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging

49. On Mitigation of Side-Channel Attacks in 3D ICs: Decorrelating Thermal Patterns from Power and Activity

50. Rethinking Split Manufacturing: An Information-Theoretic Approach with Secure Layout Techniques

Catalog

Books, media, physical & digital resources