Search

Showing total 778 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic clocks Remove constraint Topic: clocks Language english Remove constraint Language: english Journal ieee transactions on circuits & systems. part i: regular papers Remove constraint Journal: ieee transactions on circuits & systems. part i: regular papers Publisher ieee Remove constraint Publisher: ieee
778 results

Search Results

1. A DROIC Based on PFM ADCs Employing Over-Integration for Error Shaping.

2. Low-Power SAR ADC Design: Overview and Survey of State-of-the-Art Techniques.

3. A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router.

4. Field-Coupled Nanocomputing Placement and Routing With Genetic and A* Algorithms.

5. A 1.5-GS/s 6-bit Single-Channel Loop-Unrolled SAR ADC With Speculative CDAC Switching Control Technique in 28-nm CMOS.

6. A 7-Bit 7-GHz Multiphase Interpolator-Based DPC for CDR Applications.

7. The Dickson Charge Pump as a Signal Amplifier.

8. Analysis of Injection-Locked Ring Oscillators for Quadrature Clock Generation in Wireline or Optical Transceivers.

9. A Delta Sigma Modulator-Based Stochastic Divider.

10. The Constant Multiplier FFT.

11. A 1.45 GHz All-Digital Spread Spectrum Clock Generator in 65nm CMOS for Synchronization-Free SoC Applications.

12. A Low-Cost Error-Tolerant Flip-Flop Against SET and SEU for Dependable Designs.

13. Analysis and Design of Digital Injection-Locked Clock Multipliers Using Bang-Bang Phase Detectors.

14. Analysis and Design of High-Efficiency Charge Pumps With Improved Current Driving Capability Using Gate Voltage Boosting Technique.

15. Subsampling Mismatch Noise Cancellation for High-Speed Continuous-Time DACs.

16. AMPS: An Automated Mesochronous Pipeline Scheduler and Design Space Explorer for High Performance Digital Circuits.

17. Random Sampling-and-Averaging Techniques for Single-Photon Arrival-Time Detections in Quantum Applications: Theoretical Analysis and Realization Methodology.

18. A 10-Bit 2.5-GS/s Two-Step ADC With Selective Time-Domain Quantization in 28-nm CMOS.

19. A 56-Gbps PAM-4 Wireline Receiver With 4-Tap Direct DFE Employing Dynamic CML Comparators in 65 nm CMOS.

20. Improved Metastability of True Single-Phase Clock D-Flipflops With Applications in Vernier Time-to-Digital Converters.

21. Joint Digital Online Compensation of TX and RX Time-Varying I/Q Mismatch and DC-Offset in mmWave Transceiver System.

22. A 6.15–10.9 Gb/s 0.58 pJ/Bit Reference-Less Half-Rate Clock and Data Recovery With “Phase Reset” Scheme.

23. Low-Complexity Resource-Shareable Parallel Generalized Integrated Interleaved Encoder.

24. Design and Evaluation of Radiation-Hardened Standard Cell Flip-Flops.

25. Analysis of Timing Accuracy and Sensitivity in a RF Correlation-Based Impulse Radio Receiver With Phase Interpolation for Data Synchronization.

26. A 0.34 mm2 1 Gb/s Non-Coherent UWB Receiver Architecture With Pulse Enhancement and Double PLL Clock/Data Packet Recovery.

27. A 2.2-GHz Configurable Direct Digital Frequency Synthesizer Based on LUT and Rotation.

28. Clock Jitter Analysis of Continuous-Time $\Sigma\Delta$ Modulators Based on a Relative Time-Base Projection.

29. A Standard-Cell-Based All-Digital PWM Modulator With High Resolution and Spread- Spectrum Capability.

30. An Area-Efficient Column-Parallel Digital Decimation Filter With Pre-BWI Topology for CMOS Image Sensor.

31. Stochastic Dividers for Low Latency Neural Networks.

32. Real-Time Downsampling in Digital Storage Oscilloscopes With Multichannel Architectures.

33. A Bias-Current-Free Fractional-N Hybrid PLL for Low-Voltage Clock Generation.

34. A 0.003-mm2 440fsRMS-Jitter and −64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS.

35. FPGA-Based Relaxation D/A Converters With Parasitics-Induced Error Suppression and Digital Self-Calibration.

36. Metastability in Superconducting Single Flux Quantum (SFQ) Logic.

37. Design of High-Order Type-II Delay-Locked Loops With a Fast-Settling-Zero-Overshoot Step Response and Large Jitter-Rejection Capabilities.

38. Adaptive Cancellation of Static and Dynamic Mismatch Error in Continuous-Time DACs.

39. Jitter-Power Trade-Offs in PLLs.

40. Resonant Clock Synchronization With Active Silicon Interposer for Multi-Die Systems.

41. A Time-Division-Multiplexed Clocked-Analog Low-Dropout Regulator.

42. Ultralow-Latency VLSI Architecture Based on a Linear Approximation Method for Computing Nth Roots of Floating-Point Numbers.

43. Design of Low-Voltage Power Efficient Frequency Dividers in Folded MOS Current Mode Logic.

44. A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS.

45. All Digital Phase-Locked Loop Networks for Clock Generation and Distribution: Network Stability, Convergence and Performance.

46. Fast Nested Key Equation Solvers for Generalized Integrated Interleaved Decoder.

47. Power Management IC With a Three-Phase Cold Self-Start for Thermoelectric Generators.

48. Timing Reliability Improvement of Master-Slave Flip-Flops in the Presence of Aging Effects.

49. An N-Path Filter Design Methodology With Harmonic Rejection, Power Reduction, Foldback Elimination, and Spectrum Shaping.

50. On Quantized Analog Compressive Sensing Methods for Efficient Resonator Frequency Estimation.