Search

Showing total 389 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Search Limiters Full Text Remove constraint Search Limiters: Full Text Topic clocks Remove constraint Topic: clocks Publication Type Electronic Resources Remove constraint Publication Type: Electronic Resources Journal ieee transactions on circuits & systems. part i: regular papers Remove constraint Journal: ieee transactions on circuits & systems. part i: regular papers Publisher ieee Remove constraint Publisher: ieee
389 results

Search Results

1. Low-Power SAR ADC Design: Overview and Survey of State-of-the-Art Techniques.

2. Field-Coupled Nanocomputing Placement and Routing With Genetic and A* Algorithms.

3. A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router.

4. A 1.5-GS/s 6-bit Single-Channel Loop-Unrolled SAR ADC With Speculative CDAC Switching Control Technique in 28-nm CMOS.

5. A 7-Bit 7-GHz Multiphase Interpolator-Based DPC for CDR Applications.

6. The Dickson Charge Pump as a Signal Amplifier.

7. Analysis of Injection-Locked Ring Oscillators for Quadrature Clock Generation in Wireline or Optical Transceivers.

8. A Delta Sigma Modulator-Based Stochastic Divider.

9. A Low-Cost Error-Tolerant Flip-Flop Against SET and SEU for Dependable Designs.

10. Analysis and Design of Digital Injection-Locked Clock Multipliers Using Bang-Bang Phase Detectors.

11. Analysis and Design of High-Efficiency Charge Pumps With Improved Current Driving Capability Using Gate Voltage Boosting Technique.

12. AMPS: An Automated Mesochronous Pipeline Scheduler and Design Space Explorer for High Performance Digital Circuits.

13. Random Sampling-and-Averaging Techniques for Single-Photon Arrival-Time Detections in Quantum Applications: Theoretical Analysis and Realization Methodology.

14. A 10-Bit 2.5-GS/s Two-Step ADC With Selective Time-Domain Quantization in 28-nm CMOS.

15. A 56-Gbps PAM-4 Wireline Receiver With 4-Tap Direct DFE Employing Dynamic CML Comparators in 65 nm CMOS.

16. Improved Metastability of True Single-Phase Clock D-Flipflops With Applications in Vernier Time-to-Digital Converters.

17. Joint Digital Online Compensation of TX and RX Time-Varying I/Q Mismatch and DC-Offset in mmWave Transceiver System.

18. A 6.15–10.9 Gb/s 0.58 pJ/Bit Reference-Less Half-Rate Clock and Data Recovery With “Phase Reset” Scheme.

19. Low-Complexity Resource-Shareable Parallel Generalized Integrated Interleaved Encoder.

20. The Constant Multiplier FFT.

21. A 1.45 GHz All-Digital Spread Spectrum Clock Generator in 65nm CMOS for Synchronization-Free SoC Applications.

22. Design and Evaluation of Radiation-Hardened Standard Cell Flip-Flops.

23. Stochastic Dividers for Low Latency Neural Networks.

24. Real-Time Downsampling in Digital Storage Oscilloscopes With Multichannel Architectures.

25. A Bias-Current-Free Fractional-N Hybrid PLL for Low-Voltage Clock Generation.

26. A DROIC Based on PFM ADCs Employing Over-Integration for Error Shaping.

27. Subsampling Mismatch Noise Cancellation for High-Speed Continuous-Time DACs.

28. A 0.003-mm2 440fsRMS-Jitter and −64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS.

29. FPGA-Based Relaxation D/A Converters With Parasitics-Induced Error Suppression and Digital Self-Calibration.

30. Metastability in Superconducting Single Flux Quantum (SFQ) Logic.

31. Jitter-Power Trade-Offs in PLLs.

32. Resonant Clock Synchronization With Active Silicon Interposer for Multi-Die Systems.

33. A Time-Division-Multiplexed Clocked-Analog Low-Dropout Regulator.

34. Ultralow-Latency VLSI Architecture Based on a Linear Approximation Method for Computing Nth Roots of Floating-Point Numbers.

35. Design of Low-Voltage Power Efficient Frequency Dividers in Folded MOS Current Mode Logic.

36. A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS.

37. All Digital Phase-Locked Loop Networks for Clock Generation and Distribution: Network Stability, Convergence and Performance.

38. Fast Nested Key Equation Solvers for Generalized Integrated Interleaved Decoder.

39. Power Management IC With a Three-Phase Cold Self-Start for Thermoelectric Generators.

40. Analysis of Timing Accuracy and Sensitivity in a RF Correlation-Based Impulse Radio Receiver With Phase Interpolation for Data Synchronization.

41. A 0.34 mm2 1 Gb/s Non-Coherent UWB Receiver Architecture With Pulse Enhancement and Double PLL Clock/Data Packet Recovery.

42. A 2.2-GHz Configurable Direct Digital Frequency Synthesizer Based on LUT and Rotation.

43. Clock Jitter Analysis of Continuous-Time $\Sigma\Delta$ Modulators Based on a Relative Time-Base Projection.

44. Timing Reliability Improvement of Master-Slave Flip-Flops in the Presence of Aging Effects.

45. An N-Path Filter Design Methodology With Harmonic Rejection, Power Reduction, Foldback Elimination, and Spectrum Shaping.

46. On Quantized Analog Compressive Sensing Methods for Efficient Resonator Frequency Estimation.

47. Reduced-Complexity Key Equation Solvers for Generalized Integrated Interleaved BCH Decoders.

48. Soft Error Detection and Correction Architecture for Asynchronous Bundled Data Designs.

49. A +0.66/−0.73 °C Inaccuracy, 1.99-μW Time-Domain CMOS Temperature Sensor With Second-Order ΔΣ Modulator and On-Chip Reference Clock.

50. A Calibration-Free Ring-Oscillator PLL With Gain Tracking Achieving 9% Jitter Variation Over PVT.