Search

Showing total 552 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic energy consumption Remove constraint Topic: energy consumption Journal ieee transactions on circuits & systems. part i: regular papers Remove constraint Journal: ieee transactions on circuits & systems. part i: regular papers
552 results

Search Results

1. Low-Power SAR ADC Design: Overview and Survey of State-of-the-Art Techniques.

2. A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router.

3. BitS-Net: Bit-Sparse Deep Neural Network for Energy-Efficient RRAM-Based Compute-In-Memory.

4. Incremental Delta-Sigma ADCs: A Tutorial Review.

5. Near-Optimal Decoding of Incremental Delta-Sigma ADC Output.

6. Memory-Optimized Re-Gridding Architecture for Non-Uniform Fast Fourier Transform.

7. DPA-Secured Quasi-Adiabatic Logic (SQAL) for Low-Power Passive RFID Tags Employing S-Boxes.

8. An Approximate Memory Architecture for Energy Saving in Deep Learning Applications.

9. Energy-Efficient Spectral Analysis Method Using Autoregressive Model-Based Approach for Internet of Things.

10. A Dynamic Timing Error Prevention Technique in Pipelines With Time Borrowing and Clock Stretching.

11. HD-CIM: Hybrid-Device Computing-In-Memory Structure Based on MRAM and SRAM to Reduce Weight Loading Energy of Neural Networks.

12. Reconfigurable Bit-Serial Operation Using Toggle SOT-MRAM for High-Performance Computing in Memory Architecture.

13. Non-Binary Spin Wave Based Circuit Design.

14. SWPU: A 126.04 TFLOPS/W Edge-Device Sparse DNN Training Processor With Dynamic Sub-Structured Weight Pruning.

15. PL-NPU: An Energy-Efficient Edge-Device DNN Training Processor With Posit-Based Logarithm-Domain Computing.

16. Communication Channel Analysis and Real Time Compressed Sensing for High Density Neural Recording Devices.

17. High-Speed LDPC Decoders Towards 1 Tb/s.

18. CHAMP: Channel Merging Process for Cost-Efficient Highly-Pruned CNN Acceleration.

19. Distributed Nash Equilibrium Seeking for Aggregative Games With Directed Communication Graphs.

20. C2PAx: Complexity-Aware Constant Parameter Approximation for Energy-Efficient Tree-Based Machine Learning Accelerators.

21. A 10-Bit 200-kS/s 1.76- $\mu$ W SAR ADC With Hybrid CAP-MOS DAC for Energy-Limited Applications.

22. Synthesizable Memory Arrays Based on Logic Gates for Subthreshold Operation in IoT.

23. Comparative Analysis of Simulation-Based Methods for Deriving the Phase- and Gain-Margins of Feedback Circuits With Op-Amps.

24. A Low Power Double-Sampling Extended Counting ADC With Class-AB OTA for Sensor Arrays.

25. Power Bounds and Energy Efficiency in Incremental $\Delta\Sigma$ Analog-to-Digital Converters.

26. Efficient Shift-Add Implementation of FIR Filters Using Variable Partition Hybrid Form Structures.

27. Guest EditorialSpecial Section on the 2013 IEEE Custom Integrated Circuits Conference (CICC 2013).

28. Frequency Splitting Elimination and Utilization in Magnetic Coupling Wireless Power Transfer Systems.

29. A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS.

30. Sparse Compressed Spiking Neural Network Accelerator for Object Detection.

31. Methodology for Readout and Ring Oscillator Optimization Toward Energy-Efficient VCO-Based ADCs.

32. A Precision-Scalable Energy-Efficient Convolutional Neural Network Accelerator.

33. In-Hardware Training Chip Based on CMOS Invertible Logic for Machine Learning.

34. A Ripple Reduction Method for Switched-Capacitor DC–DC Voltage Converter Using Fully Digital Resistance Modulation.

35. WRA: A 2.2-to-6.3 TOPS Highly Unified Dynamically Reconfigurable Accelerator Using a Novel Winograd Decomposition Algorithm for Convolutional Neural Networks.

36. Design-Oriented Energy Models for Wide Voltage Scaling Down to the Minimum Energy Point.

37. Lightweight Hardware Architectures for the Present Cipher in FPGA.

38. Accuracy Optimization With the Framework of Non-Volatile Computing-In-Memory Systems.

39. A 2.1 mW 2 MHz-BW 73.8 dB-SNDR Buffer-Embedded Noise-Shaping SAR ADC.

40. Neuromorphic Dynamics of Chua Corsage Memristor.

41. Finite/Fixed-Time Synchronization of Multi-Layer Networks Based on Energy Consumption Estimation.

42. An Ultra-Low Voltage Level Shifter Using Revised Wilson Current Mirror for Fast and Energy-Efficient Wide-Range Voltage Conversion from Sub-Threshold to I/O Voltage.

43. A 1.2V-to-0.4V 3.2GHz-to-14.3MHz Power-Efficient 3-Port Register File in 65-nm CMOS.

44. A 14-bit 250 MS/s IF Sampling Pipelined ADC in 180 nm CMOS Process.

45. Loading-Aware Reliability Improvement of Ultra-Low Power Memristive Neural Networks.

46. A New Approach of Formation Control for Multi-Agent Systems With Environmental Changes.

47. A Charge-Domain Scalable-Weight In-Memory Computing Macro With Dual-SRAM Architecture for Precision-Scalable DNN Accelerators.

48. A 197.1-μW Wireless Sensor SoC With an Energy-Efficient Analog Front-End and a Harmonic Injection-Locked OOK TX.

49. Efficient Design of Spiking Neural Network With STDP Learning Based on Fast CORDIC.

50. Quality and Energy-Aware HEVC Transrating Based on Machine Learning.