2,185 results on '"Layer (electronics)"'
Search Results
2. Controlling of upconversion nanoparticle luminescence at heating and optical clearing of adipose tissue
- Author
-
Vyacheslav I. Kochubey, Daria K. Tuchina, Julia G. Konyukhova, Valery V. Tuchin, E. K. Volkova, and Irina Yu. Yanina
- Subjects
chemistry.chemical_classification ,Materials science ,business.industry ,Analytical chemistry ,Adipose tissue ,Nanoparticle ,Polymer ,Atmospheric temperature range ,Fluorescence ,Photon upconversion ,chemistry ,Optoelectronics ,Luminescence ,business ,Layer (electronics) - Abstract
The luminescence spectra of a polymer film with embedded upconversion nanoparticles (UCNPs) were measured through 0.1-0.3 mm adipose tissue layer at heating in a wide temperature range. Heating and application of optical clearing agents improved intensity of UCNP fluorescence significantly.
- Published
- 2017
3. Theoretical investigation on multilayer nanocomposite-based fiber optic SPR sensor
- Author
-
Shabnam Abbasi, Ehsan Shojaie, Azadeh Gharibzadeh, and Khosro Madanipour
- Subjects
Nanocomposite ,Optical fiber ,Materials science ,Transfer-matrix method (optics) ,Alloy ,engineering.material ,Silver nanoparticle ,law.invention ,Core (optical fiber) ,law ,engineering ,Fiber ,Composite material ,Layer (electronics) - Abstract
In this work, a multilayer nanocomposite based fiber optic SPR sensor is considered and especially designed for CO2 gas detection. This proposed fiber sensor consists of fiber core, gold-silver alloy and the absorber layers. The investigation is based on the evaluation of the transmitted-power derived under the transfer matrix method and the multiple-reflection in the sensing area. In terms of sensitivity, the sensor performance is studied theoretically under various conditions related to the metal layer and its gold and silver nanoparticles to form a single alloy film. Effect of additional parameters such as the ratio of the alloy composition and the thickness of the alloy film on the performance of the SPR sensor is studied, as well. Finally, a four-layer structure is introduced to detect carbon dioxide gas. It contains core fiber, gold-silver alloy layer, an absorbent layer of carbon dioxide gas (KOH) and measurement environment. Lower price and size are the main advantages of using such a sensor in compare with commercial (NDIR) gas sensor. Theoretical results show by increasing the metal layer thickness the sensitivity of sensor is increased, and by increasing the ratio of the gold in alloy the sensitivity is decreased.
- Published
- 2017
4. Alkaline fuel cell with nitride membrane
- Author
-
Benedikt Funke, Georg Dura, Florian Letzkus, Jens Wartmann, Moritz Pilaski, Angelika Heinzel, and Shen-Huei Sun
- Subjects
Alkaline fuel cell ,Ion implantation ,Membrane ,Materials science ,Chemical engineering ,Coating ,Analytical chemistry ,engineering ,Wafer ,Chemical vapor deposition ,Nitride ,engineering.material ,Layer (electronics) - Abstract
The aim of this work is to fabricate patterned nitride membranes with Si-MEMS-technology as a platform to build up new membrane-electrode-assemblies (MEA) for alkaline fuel cell applications. Two 6-inch wafer processes based on chemical vapor deposition (CVD) were developed for the fabrication of separated nitride membranes with a nitride thickness up to 1 μm. The mechanical stability of the perforated nitride membrane has been adjusted in both processes either by embedding of subsequent ion implantation step or by optimizing the deposition process parameters. A nearly 100% yield of separated membranes of each deposition process was achieved with layer thickness from 150 nm to 1 μm and micro-channel pattern width of 1μm at a pitch of 3 μm. The process for membrane coating with electrolyte materials could be verified to build up MEA. Uniform membrane coating with channel filling was achieved after the optimization of speed controlled dip-coating method and the selection of dimethylsulfoxide (DMSO) as electrolyte solvent. Finally, silver as conductive material was defined for printing a conductive layer onto the MEA by Ink-Technology. With the established IR-thermography setup, characterizations of MEAs in terms of catalytic conversion were performed successfully. The results of this work show promise for build up a platform on wafer-level for high throughput experiments.
- Published
- 2017
5. Methanol sensor for integration with GaP nanowire photocathode
- Author
-
J. Kováč, J. Novák, S. Hasenöhrl, Agáta Laurenčíková, and P. Eliáš
- Subjects
Materials science ,business.industry ,Nanowire ,Analytical chemistry ,Substrate (electronics) ,Photocathode ,chemistry.chemical_compound ,Semiconductor ,chemistry ,Nafion ,business ,Absorption (electromagnetic radiation) ,Layer (electronics) ,Dark current - Abstract
We proposed a new type of the methanol concentration sensor that may be integrated directly to the GaP nanostructured photocathode. Necessary attribute for this design is the possibility to make it compatible with p-type of semiconductor. This condition follows from the fact that photocathodes for the CO2 splitting are exclusively prepared from p-type of semiconductors. Design of methanol sensor emanates from this principle. On the GaP substrate is deposited thin Pt supporting layer (100-200 nm thick).This layer is covered by 500 nm thick Nafion membrane that serves as proton filter. On the top of Nafion layer is deposited top Pt contact layer covered by thin nanostructured Pt layer layer with various thickness (0.5 -5 nm). This nanostructured Pt is formed into small islands. It serves as an absorption layer for methanol. Sensor detection properties were estimated from monitoring of I-V characteristics. They were measured in dark and under various methanol concentrations. Dark current values are in order 10-9 A, and this current increases up to order of microamps for methanol of concentration more than 95%.These measurements proved high sensitivity of the GaP compatible sensor structure. Methanol sensors were realized in form of narrow stripe on the side of the photocathode.
- Published
- 2017
6. Experimental investigation on cleaning of corroded ancient coins using a Nd:YAG laser
- Author
-
Huazhong Zhu, Xiaowu Ni, Zhonghua Shen, and Jian Lu
- Subjects
Cuprite ,Materials science ,Metallurgy ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Q-switching ,Corrosion ,law.invention ,010309 optics ,chemistry ,law ,Nd:YAG laser ,visual_art ,0103 physical sciences ,visual_art.visual_art_medium ,Chlorine ,Surface layer ,0210 nano-technology ,Layer (electronics) - Abstract
The objective of the work reported is to study experimentally on the removal of corrosion layer from the ancient coins using laser beam as the conservation tool. With the use of Q-switched Nd:YAG laser radiation at 1064 nm, dry laser cleaning, steam laser cleaning and chemical-assisted laser cleaning were used to find out a more suitable and efficient laser treatment for corrosion removal. Cleaning tests were performed on ancient Chinese coins. Experimental results shows that the dry laser cleaning was not successful at removing all types of corrosion crust. It was possible to remove the outer thicker layer of the corrosion products (typically known as patina), but failed on the thinner layer of cuprite. The steam laser cleaning could decrease the initial removal threshold and improve the removal efficiency especially for the oxidation with powdery structure. As for chemical-assisted laser treatment, the cleaning results demonstrate that the combination of laser and chemical reagent could provide a considerable improvement in corrosion removal compared with the conventional laser treatments. Most of the corrosion contaminant was stripped, even the cuprite layer. Moreover, no secondary pollution was formed on the cleaned surface. X-ray fluorescence was applied to determine the variation of composition of surface layer and bulk metal before and after the coins cleaned. It shows that all of the three laser treatments were efficient to reduce the chlorine concentration on the surface of the coins more than 75%.
- Published
- 2017
7. Optical monitoring of thin film electro-polymerization on surface of ITO-coated lossy-mode resonance sensor
- Author
-
Robert Bogdanowicz, Petr Sezemsky, Dariusz Burnat, Michał Sobaszek, Mateusz Smietana, Vitezslav Stranak, and Magdalena Dominik
- Subjects
Materials science ,Working electrode ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,Sputter deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,Indium tin oxide ,010309 optics ,chemistry ,0103 physical sciences ,Electrode ,Optoelectronics ,Thin film ,Cyclic voltammetry ,0210 nano-technology ,business ,Layer (electronics) ,Indium - Abstract
This work presents an optical fiber sensors based on lossy-mode resonance (LMR) phenomenon supported by indium tin oxide (ITO) thin overlay for investigation of electro-polymerization effect on ITO's surface. The ITO overlays were deposited on core of polymer-clad silica (PCS) fibers using reactive magnetron sputtering (RMS) method. Since ITO is electrically conductive and electrochemically active it can be used as a working electrode in 3-electrode cyclic voltammetry setup. For fixed potential applied to the electrode current flow decrease with time what corresponds to polymer layer formation on the ITO surface. Since LMR phenomenon depends on optical properties in proximity of the ITO surface, polymer layer formation can be monitored optically in real time. The electrodeposition process has been performed with Isatin which is a strong endogenous neurochemical regulator in humans as it is a metabolic derivative of adrenaline. It was found that optical detection of Isatin is possible in the proposed configuration.
- Published
- 2017
8. The effects of bonding layer on the high-frequency dynamic response of piezoelectric augmented structures
- Author
-
Pablo A. Tarazaga and Mohammad I. Albakri
- Subjects
Timoshenko beam theory ,Frequency response ,Materials science ,Adhesive bonding ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Piezoelectricity ,Computer Science::Other ,020303 mechanical engineering & transports ,0203 mechanical engineering ,Wafer ,Structural health monitoring ,Composite material ,0210 nano-technology ,Layer (electronics) ,Energy harvesting - Abstract
Embedded and surface bonded piezoelectric wafers have been widely used for control, energy harvesting, and structural health monitoring applications. The basis for all these applications is the energy transfer between the piezoelectric wafer and the host structure, which takes place through the adhesive bonding layer. The characteristics of the bonding layer are found to have an important impact on the sensing and actuation capabilities of piezoelectric-based applications. In this paper, the high-frequency dynamic response of an elastic beam coupled with a piezoelectric wafer is investigated, including the bonding layer in between. A previously developed three-layer spectral element model, with high-frequency capabilities, is utilized for this purpose. Timoshenko beam and elementary rod theories are adopted to describe axial and lateral deformations in each of the three layers. A parametric study is conducted to evaluate the effects of bonding layer characteristics on the steady-state dynamic response of the coupled system, including frequency response functions and electromechanical impedance. The frequency-dependent nature of bonding layer effects is highlighted and discussed.
- Published
- 2017
9. Infrared reflective coatings for building and automobile glass windows for heat protection
- Author
-
Mohsin Habib, Muhammad Ali Butt, Sergey A. Fomchenkov, Anayat Ullah, Nikolay L. Kazanskiy, and R. Z. Ali
- Subjects
Materials science ,Optical coating ,Optics ,Infrared ,business.industry ,Optoelectronics ,Substrate (electronics) ,Dielectric ,Thin film ,business ,Reflection (computer graphics) ,Layer (electronics) ,Visible spectrum - Abstract
Sunlight can be used a source of light in buildings and automobiles, however infrared wavelengths in sunlight result in heating. In this work, Infrared Reflective Coatings are designed using thin films to transmit visible wavelengths 400~700 nm while reflecting infrared wavelengths above 700 nm. Three different design approaches have been used, namely single layer of metal, sandwich structure and multilayer design. Four metals (Ag, Au, Al and Cu) and two dielectrics (TiO2 and SiO2) are used in this study. Designs with Ag show maximum reflection of Infrared wavelengths in all designs. Sandwich structures of TiO2-Ag-TiO2 on substrate with 22 nm of thickness for each layer show the maximum transmission of 87% in the visible region and maximum reflection of Infrared wavelengths.
- Published
- 2017
10. Software for analysis of the process of formation of the catalytic mask in the off-electrode plasma
- Author
-
V. A. Kolpakov, M. A. Markushin, and S. V. Krichevskiy
- Subjects
Chemical species ,Optics ,Semiconductor ,Materials science ,business.industry ,Electrode ,Doping ,Process (computing) ,Plasma ,Irradiation ,business ,Layer (electronics) - Abstract
We investigated one of the technologies of forming microrelief of diffractive optical elements. The technology is based on using catalytic mask in off-electrode plasma. We have developed a software that allows to evaluate some important parameters of this technological process. First, it is the evaluation of numerical values of concentration profiles "vacancies" and semiconductor atoms in the melt. Second, it is the evaluation of time for irradiation the "metal - semiconductor" structure to achieve the desired depth and the doping concentration of the semiconductor atoms in the melt layer. This enabled to determine the best modes for generating a predetermined height of diffractive microrelief.
- Published
- 2017
11. Unexpected impact of RIE gases on lithographic films
- Author
-
Martin Glodde, Robert L. Bruce, Marinus Hopstaken, Karen Petrillo, Michael R. Saccomanno, Nelson Felix, and B. Price
- Subjects
010302 applied physics ,Materials science ,Plasma etching ,business.industry ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,Photoresist ,Sputter deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,Sputtering ,0103 physical sciences ,Optoelectronics ,Reactive-ion etching ,0210 nano-technology ,business ,Lithography ,Layer (electronics) - Abstract
Successful pattern transfer from the photoresist into the substrate depends on robust layers of lithographic films. Typically, an alternating sequence of inorganic (most often Si containing) and organic hardmask (HM) materials is used. Pattern transfer occurs then by using reactive ion etch (RIE) chemistry that is selective to one particular layer (such as: flurorinated RIE for Si HM). The impact of these RIE gases onto the layers acting as hardmask for the layer to be etched is typically neglected, except for known sputtering effects. We found that components of the RIE gases can penetrate deep into the “inert” layers and significantly modify them. For example, nitrogen used as component to etch spin-on carbon layers was found to travel up to 70 nm deep into Si HM materials and create layers with different material properties within this film. The question is being raised and discussed to which extent this atom implantation may impact the pattern transfer of the ever shrinking features.
- Published
- 2017
12. Study of flowability effect on self-planarization performance at SOC materials
- Author
-
Hui-Chan Yun, Jin-Hyung Kim, Park Youjung, Seulgi Jeong, Byeri Yoon, Yoona Kim, Jae-Yeol Baek, and Sang-Hak Lim
- Subjects
Materials science ,chemistry.chemical_element ,Nanotechnology ,Chemical vapor deposition ,engineering.material ,chemistry ,Amorphous carbon ,Coating ,Chemical-mechanical planarization ,engineering ,Multiple patterning ,Carbon ,Layer (electronics) ,Shrinkage - Abstract
For multilayer process, importance of carbon-based spin-on hardmask material that replaces amorphous carbon layer (ACL) is ever increasing. Carbon-based spin-on hardmask is an organic polymer with high carbon content formulated in organic solvents for spin-coating application that is cured through baking. In comparison to CVD process for ACL, carbon-based spin-on hardmask material can offer several benefits: lower cost of ownership (CoO) and improved process time, as well as better gap-fill and planarization performances. Thus carbon-based spin-on hardmask material of high etch resistance, good gap-fill properties and global planarization performances over various pattern topographies are desired to achieve the fine patterning and high aspect ratio (A/R). In particular, good level of global planarization of spin coated layer over the underlying pattern topographies is important for self-aligned double patterning (SADP) process as it dictates the photolithographic margin. Herein, we report a copolymer carbon-based spin-on hardmask resin formulation that exhibits favorable film shrinkage profile and good etch resistance properties. By combining the favorable characteristics of each resin – one resin with good shrinkage property and the other with excellent etch resistance into the copolymer, it was possible to achieve a carbonbased spin-on hardmask formulation with desirable level of etch resistance and the planarization performances across various underlying substrate pattern topographies.
- Published
- 2017
13. Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping
- Author
-
Danilo De Simone, Michael Kocsis, Kaushik A. Kumar, Frederic Lazzarino, Daniele Piumi, Peter De Schepper, Fumiko Yamashita, Ming Mao, and Vinh Luong
- Subjects
Materials science ,Resist ,Etching (microfabrication) ,Extreme ultraviolet lithography ,Multiple patterning ,Nanotechnology ,Trimming ,Photoresist ,Stripping (fiber) ,Layer (electronics) - Abstract
Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist (~12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria’s metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.
- Published
- 2017
14. Development of TiO2 containing hardmasks through PEALD deposition
- Author
-
Madhana Sunder, Shravan Matham, Anuja De Silva, Yiping Yao, Hao Truong, Abraham Arceo, Indira Seshadri, Yasir Sulehria, Ruqiang Bao, Heng Wu, Nelson Felix, Sivananda K. Kanakasabapathy, Kisup Chung, Brock Mendoza, and Luciana Meli
- Subjects
010302 applied physics ,Materials science ,Metal contamination ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,0103 physical sciences ,Electrical performance ,Process window ,Dry etching ,0210 nano-technology ,Lithography ,Scale down ,Layer (electronics) ,Deposition (law) - Abstract
With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.
- Published
- 2017
15. Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer
- Author
-
Peter De Schepper, David Rio, Werner Gillijns, Joost Bekaert, Michael Greer, Michael Kocsis, Maxence Delorme, Anita Fumar-Pici, Adam Lyons, Sook Lee, Danilo De Simone, Wallow Thomas I, and Jason K. Stowers
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Oxide ,02 engineering and technology ,Photoresist ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Back end of line ,chemistry.chemical_compound ,Resist ,chemistry ,Extreme ultraviolet ,0103 physical sciences ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Layer (electronics) - Abstract
Inpria has developed a directly patternable metal oxide hard-mask as a high-resolution photoresist for EUV lithography1. In this contribution, we describe a Tachyon 2D OPC full-chip model for an Inpria resist as applied to an N7 BEOL block mask application.
- Published
- 2017
16. Investigation on spin-on hard mask integration
- Author
-
Seung-Hyun Kim, Sang-Hak Lim, Jeong Yun Yu, Yun-Jun Kim, Hyeonil Jung, Seung-Wook Shin, Kim Sunghwan, Miyeon Han, Chung-Heon Lee, Jaebum Lim, and Park Yushin
- Subjects
Materials science ,chemistry ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,chemistry.chemical_element ,business ,Carbon ,Layer (electronics) ,Hard mask ,Computer hardware ,Spin-½ - Abstract
For fine patterning, there are two possible hard mask integration schemes: quad-layer and tri-layer systems. Due to the different structures and processes between quad- and tri- layer systems, each system needs specific chemical and physical properties of the hard mask. In this paper, we report the properties of the carbon-based spin-on hard mask (CSOH) candidates for various hard mask integrations.
- Published
- 2017
17. Line end shortening and iso-dense etch bias improvement by ALD spacer shrink process
- Author
-
Rui Chen, Bradley Morgenfeld, Aleksandra Clancy, Granger Lobb, and Shyam Pal
- Subjects
Materials science ,business.industry ,Process (computing) ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Line (electrical engineering) ,010309 optics ,Back end of line ,Atomic layer deposition ,0103 physical sciences ,Multiple patterning ,Optoelectronics ,0210 nano-technology ,business ,Scaling ,Critical dimension ,Layer (electronics) - Abstract
Multiple patterning employing etch shrink extends the scaling of hardmask open CD (HCD) to sub-50nm regime. A plasma-assisted shrink technique is primarily used in the back-end-of-line (BEOL) however it faces major challenges such as the line end shortening (LES) and large critical dimension iso-dense bias (IDB). In order to mitigate these two problems we apply an atomic layer deposition (ALD) spacer shrink process at 10nm metal interconnect layer with sub-20nm minimum half-pitch. As a result we observed 8nm LES improvement in tip-to-tip (T2T) two-dimensional (2D) structures, and 5nm IDB reduction in one-dimensional (1D) structures. These improvements suggest that the ALD spacer shrink can contribute to more precise CD control in multiple patterning.
- Published
- 2017
18. Ultrathin EUV patterning stack using polymer brush as an adhesion promotion layer
- Author
-
Indira Seshadri, Anuja De Silva, Luciana Meli, Charlie Liu, Cheng Chi, Jing Guo, Kristin Schmidt, Hoa Truang, John C. Arnold, Nelson Felix, Lovejeet Singh, Tsuyoshi Furukawa, Ramakrishnan Ayothi, Angelique Raley, and Richard Farrell
- Subjects
010302 applied physics ,Materials science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,Adhesion ,Photoresist ,021001 nanoscience & nanotechnology ,Polymer brush ,01 natural sciences ,Transplantation ,Resist ,0103 physical sciences ,0210 nano-technology ,Layer (electronics) ,Lithography - Abstract
Initial readiness of EUV patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses
- Published
- 2017
19. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform
- Author
-
Joost Bekaert, Vadim Timoshkov, Friso Wittebrood, Ming Mao, Stefan Decoster, Philippe Leray, Bogumila Kutrzeba Kotowska, Paul Colsters, Mark John Maslow, Greg McIntyre, Joern-Holger Franke, Emily Gallagher, Frederic Lazzarino, Ton Kiers, Stephane Lariviere, Paolo Di Lorenzo, Victor M. Blanco Carballo, Eric Hendrickx, Joep van Dijk, and R. Ryoung-han Kim
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Back end of line ,Logic synthesis ,Resist ,0103 physical sciences ,Process integration ,Multiple patterning ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) ,Block (data storage) - Abstract
The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.
- Published
- 2017
20. Synthesis of SERS-nanotags and their investigation inside photonic crystal fiber
- Author
-
Alexey V. Markin, Victor V. Galushka, Yulia S. Skibina, Andrey M. Zakharevich, Natalia E. Markina, and Irina Yu. Goryacheva
- Subjects
symbols.namesake ,Materials science ,Fabrication ,technology, industry, and agriculture ,symbols ,Nanotechnology ,Surface-enhanced Raman spectroscopy ,Raman spectroscopy ,Layer (electronics) ,Silver nanoparticle ,Photonic-crystal fiber ,Active layer - Abstract
The aim of this work was the fabrication of nanolabels (SERS-nanotags) which are used for chemical analysis by means of surface-enhanced Raman spectroscopy (SERS), and registration of their SERS spectra inside photonic crystal fibers (PCF). The SERS nanotags fabrication included synthesis of silver nanoparticles with subsequent deposition of Raman active layer (thiol-group contained aromatic compound) and protecting layer composed of silica shell. Finally, SERS spectra of the SERS nanotags were registered inside PCF in order to determine the value of fiber-enhancement of the Raman signal and to estimate analytical potential of this combination.
- Published
- 2017
21. Improvement of a block co-polymer (PS-b-PDMS) template etch profile using amorphous carbon layer
- Author
-
Seungwon Song, Jong Sik Oh, Geun Young Yeom, Soonmin Yim, Dain Sung, and Ji-Soo Oh
- Subjects
Materials science ,Plasma etching ,business.industry ,Extreme ultraviolet lithography ,Nanotechnology ,law.invention ,Amorphous carbon ,Etching (microfabrication) ,law ,Optoelectronics ,Dry etching ,Photolithography ,business ,Lithography ,Layer (electronics) - Abstract
Block copolymers (BCPs) are consisted of at least two types of monomers which have covalent bonding. One of the widely investigated BCPs is polystyrene-block-polydimethylsiloxane (PS- b -PDMS), which is used as an alternative patterning method for various deep nanoscale devices due to its high Flory-Huggins interaction parameter (χ), such as optical devices and transistors, replacing conventional photolithography. As an alternate or supplementary nextgeneration lithography technology to extreme ultraviolet lithography (EUVL), BCP lithography utilizing the DSA of BCP has been actively studied. However, the nanoscale BCP mask material is easily damaged by the plasma and has a very low etch selectivity over bottom semiconductor materials, because it is composed of polymeric materials even though it contains Si in PDMS. In this study, an amorphous carbon layer (ACL) was inserted as a hardmask material between BCP and materials to be patterned, and, by using O 2 plasmas, the characteristics of dry etching of ACL for high aspect ratio (HAR) using a 10 nm PDMS pattern were investigated. The results showed that, by using a PS- b -PDMS pattern with an aspect ratio of 0.3~0.9:1, a HAR PDMS/ACL double layer mask with an aspect ratio of ~10:1 could be fabricated. In addition, by the optimization of the plasma etch process, ACL masks with excellent sidewall roughness (SWR,1.35 nm) and sidewall angle (SWA, 87.9˚) could be fabricated.
- Published
- 2017
22. Plasma-assisted thermal atomic layer etching of Al2O3
- Author
-
Pan Yang, Richard A. Gottscho, Thorsten Lill, Keren J. Kanarik, John D. Boniface, Andreas Fischer, Richard Janek, and Vahid Vahedi
- Subjects
Materials science ,Plasma etching ,Hydrogen ,chemistry ,Etching (microfabrication) ,Analytical chemistry ,chemistry.chemical_element ,Dry etching ,Plasma ,Reactive-ion etching ,Isotropic etching ,Layer (electronics) - Abstract
In this paper, we report on plasma assisted thermal Atomic Layer Etching (ALE) of Al2O3. The surface was modified via a fluorine containing plasma without bias power. The removal was accomplished by a thermal reaction step using tin-(II) acetylacetonate Sn(acac)2. After a few cycles, material removal stopped and growth of a Sn-containing layer was observed. Insertion of a hydrogen plasma step was found to remove the Sn layer and a continuous material removal of 0.5 A/cycle was measured. The results show that plasma assistance can be used to realize thermal ALE of Al2O3. Specifically, plasma can be used both in the fluorination step and to keep the surface free from contaminations.
- Published
- 2017
23. Neuroelectronic device process development and challenge
- Author
-
Joel Tyson, Chen J. Zhang, Gymama Slaughter, and Matthew Robinson
- Subjects
Materials science ,Fabrication ,Silicon ,business.industry ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,Electrical connection ,03 medical and health sciences ,Printed circuit board ,0302 clinical medicine ,chemistry ,Electrode ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) ,Electrical conductor ,030217 neurology & neurosurgery - Abstract
We investigated the fabrication of small neuroelectronic device consisting of four shanks with 16 electrodes per shank for simultaneous neurochemical and brain activity monitoring. The 16 electrodes on each shank have a separation distance of 100 microns (μm). Each shank has a width of 40 μm with separation distance of 7750 μm. This design eliminates single-site recording with limited individual conductors and permits rapid characterization of multiple neurons simultaneously at multiple brain depth/sites, consequently providing ground-breaking capabilities for parsing neurochemical release and brain activity. The device is fabricated on (100) silicon substrate and is fully integrated with electrode, interconnect and bond pad fabricated on one chip. Gold rectangular pyramid electrodes are selected as the recording electrodes to enhance the non-invasiveness associated with heating and minimizing surrounding biological tissue damage. The gold electrodes are deposited on the etched silicon substrate with 600 nanometer (nm) low temperature oxide (LTO) sacrificial layer. Each electrode has top area of 6 μm x 60 μm and depth of 750 μm. The interconnects provide electrical connection between electrodes and bond pads and are sandwiched between thin polyimide layers to prevent them from breaking while maintaining the flexibility. Final bond pads and electrodes are all passivated with polyimide to provide mechanical support. Upon device release, the recording electrodes are exposed to directly contact brain structure, and the exposed bond pads are soldered on the circuit board to transport signals to the measurement instrument. The entire process involves five photomasks. Process development and integration challenges will be reviewed and discussed in the paper.
- Published
- 2017
24. Influence of dielectric protective layer on laser damage resistance of gold coated gratings
- Author
-
Zhilin Xia, Yunti Pu, Ke-Peng Wu, and Ping Ma
- Subjects
Diffraction ,Electromagnetic field ,Materials science ,business.industry ,Physics::Optics ,chemistry.chemical_element ,Dielectric ,Grating ,Diffraction efficiency ,Hafnium ,Stress field ,Optics ,chemistry ,Optoelectronics ,Physics::Atomic Physics ,business ,Layer (electronics) - Abstract
Aiming at the problem that the damage threshold of gold coated grating is relatively low, a dielectric film is considered on the gold coated gratings as a protective layer. The thickness range of the protective layer is determined under the prerequisite that the diffraction efficiency of the gold coated grating is reduced to an acceptable degree. In this paper, the electromagnetic field, the temperature field and the stress field distribution in the grating are calculated when the silica and hafnium oxide are used as protective layers, under the preconditions of the electromagnetic field distribution of the gratings known. The results show that the addition of the protective layer changes the distribution of the electromagnetic field, temperature field and stress field in the grating, and the protective layer with an appropriate thickness can improve the laser damage resistance of the grating.
- Published
- 2017
25. Effect of ZnO buffer layer on phase transition properties of vanadium dioxide thin films
- Author
-
Lekang Li, Huiqun Zhu, and Chunbo Li
- Subjects
Phase transition ,Materials science ,chemistry ,Sputtering ,Electrical resistivity and conductivity ,Inorganic chemistry ,Analytical chemistry ,Vanadium ,chemistry.chemical_element ,Sputter deposition ,Thin film ,Layer (electronics) ,Buffer (optical fiber) - Abstract
VO 2 thin films were prepared on ZnO buffer layers by DC magnetron sputtering at room temperature using vanadium target and post annealing at 400 °C. The ZnO buffer layers with different thickness deposited on glass substrates by magnetron sputtering have a high visible and near infrared optical transmittance. The electrical resistivity and the phase transition properties of the VO 2 /ZnO composite thin films in terms of temperature were investigated. The results showed that the resistivity variation of VO 2 thin film with ZnO buffer layer deposited for 35 min was 16 KΩ-cm. The VO 2 /ZnO composite thin films exhibit a reversible semiconductor-metal phase transition at 48 °C.
- Published
- 2017
26. The growth characters of InSb/GaSb quantum dots by LP-MOCVD
- Author
-
Cui Xiong, Bin Zhang, Shengtao Yu, Xiaohuan Lu, Liankai Wang, and Chen Cai
- Subjects
Materials science ,business.industry ,Substrate (electronics) ,Epitaxy ,Gallium antimonide ,chemistry.chemical_compound ,chemistry ,Quantum dot ,Phase (matter) ,Optoelectronics ,Metalorganic vapour phase epitaxy ,Reaction chamber ,business ,Layer (electronics) - Abstract
InSb quantum dots of high quality and high density is grown epitaxially by LP-MOCVD technology on GaSb substrate, and some parameters influenced surface pattern of InSb is analyzed such as source flow, phase V/III ratio, growth temperature, pressure in reaction chamber, etc. Experiment obtained a set of optimized parameters of InSb/GaSb quantum dots: 475℃, 200mbar, V/III=1. Under optimized epitaxial parameters, using atomic-like layer growth pattern and connecting In and Sb organic source for four times alternatively can prepare InSb/GaSb quantum dots whose density can be up to 1.69×10 10 cm -2 .
- Published
- 2017
27. The study of radiation damage of yeast cells in Cryo-soft x-ray tomography
- Author
-
Yong Guan, Gang Liu, Chenxi Wei, Yangchao Tian, Yue Hu, and Liang Guo
- Subjects
0106 biological sciences ,0301 basic medicine ,High contrast ,Soft x ray ,Materials science ,business.industry ,Cryogenic freezing ,Radiation ,01 natural sciences ,03 medical and health sciences ,030104 developmental biology ,Radiation damage ,Tomography ,Nuclear medicine ,business ,Layer (electronics) ,Tilt series ,010606 plant biology & botany ,Biomedical engineering - Abstract
Cryo soft X-ray tomography (Cryo-SXT) is a valuable tool for high-resolution three dimensional (3D) imaging of cells in near-native preservation state. Cryo-immobilization of cells based on rapid cryogenic freezing is crucial to obtain good imaging in Cryo-SXT. In order to acquire high contrast image of live cells, it need to prepare the specimen ice as thin as possible. However, as the thickness of the ice layer is further reduced, the live cells may be destroyed during the tilt series data collection of 3D imaging due to radiation. Thus, it is essential to seek out a proper thickness range of the ice layer to get high contrast image without radiation damage of cells. For further understanding the relationship between thickness of ice layer and degree of radiation damage, yeast cells with different thickness of ice layer were imaged using Cryo-SXT. Finally the ice layer with thickness about 10 μm was confirmed to be proper for good imaging.
- Published
- 2017
28. Laser irradiation of WE54 surface through simulated body fluid layer
- Author
-
Sylvie Castagne, Indira Khadka, Zhongke Wang, Kuddannaya Shreyas, Hongyu Zheng, Klotzbach, Udo, Washio, Kunihiko, Kling, Rainer, School of Mechanical and Aerospace Engineering, SPIE LASE, and SIMTech-NTU Joint Laboratory
- Subjects
Materials science ,business.industry ,Magnesium ,Simulated body fluid ,chemistry.chemical_element ,Laser ,Corrosion ,law.invention ,X-ray laser ,Optics ,chemistry ,law ,Diode-pumped solid-state laser ,Mechanical engineering [Engineering] ,Optoelectronics ,WE54 Surface ,Irradiation ,business ,Layer (electronics) - Abstract
Magnesium and its alloys are promising biomaterials for temporary implant application because of their degradability and biocompatibility [1,2]. The surface morphology, micro-structure, and chemical composition of material are vital for cell adhesion. In this study, laser treatment of WE54 in simulated body fluid (SBF) has been carried out to explore the technique to produce bio-structures through various ion deposition such as Ca/P on WE54 surface so as to improve its surface bio-functionality. Published version
- Published
- 2017
29. Process development for high-resolution 3D-printing of bioresorbable vascular stents
- Author
-
Adam C. Farsheed, Henry Oliver T. Ware, Cheng Sun, Robert van Lith, Evan Baker, and Guillermo A. Ameer
- Subjects
Fabrication ,Materials science ,business.industry ,medicine.medical_treatment ,3D printing ,Stent ,Biomaterial ,02 engineering and technology ,Surface finish ,030204 cardiovascular system & hematology ,021001 nanoscience & nanotechnology ,Vascular stent ,law.invention ,03 medical and health sciences ,0302 clinical medicine ,law ,medicine ,0210 nano-technology ,business ,Layer (electronics) ,Stereolithography ,Biomedical engineering - Abstract
The recent development of “continuous projection microstereolithography” also known as CLIP technology has successfully alleviated the main obstacles surrounding 3D printing technologies: production speed and part quality. Following the same working principle, we further developed the μCLIP process to address the needs for high-resolution 3D printing of biomedical devices with micron-scale precision. Compared to standard stereolithography (SLA) process, μCLIP fabrication can reduce fabrication time from several hours to as little as a few minutes. μCLIP can also produce better surface finish and more uniform mechanical properties than conventional SLA, as each individual “fabrication layer” continuously polymerizes into the subsequent layer. In this study, we report the process development in manufacturing high-resolution bioresorbable stents using our own μCLIP system. The bioresorbable photopolymerizable biomaterial (B-ink) used in this study is methacrylated poly(1, 12 dodecamethylene citrate) (mPDC). Through optimization of our μCLIP process and concentration of B-ink components, we have created a customizable bioresorbable stent with similar mechanical properties exhibited by nitinol stents. Upon optimization, fabricating a 2 cm tall vascular stent that comprises 4000 layers was accomplished in 26.5 minutes.
- Published
- 2017
30. Fabrication of electro-wetting liquid lenticular lens by using diffuser
- Author
-
Yong Hyub Won, Dooseub Shin, Junoh Kim, Jee Hoon Sim, Cheoljoong Kim, Gyo Hyun Koo, and Jun-Sik Lee
- Subjects
Materials science ,Fabrication ,business.industry ,02 engineering and technology ,Photoresist ,medicine.disease_cause ,Lenticular lens ,020210 optoelectronics & photonics ,Optics ,0202 electrical engineering, electronic engineering, information engineering ,medicine ,Wetting ,Adhesive ,Composite material ,business ,Layer (electronics) ,Diffuser (optics) ,Ultraviolet - Abstract
Liquid lenticular multi-view system has great potential of three dimensional image realization. This paper aims to introduce a novel fabrication method of electro-wetting liquid lenticular lens using diffuser. The liquid lenticular device consists of a Ultraviolet (UV) adhesive chamber, two immiscible liquids and a sealing plate. The diffuser makes UV light spread slantly not directly to negative photoresist on a glass substrate. In this process, Su-8, the suitable material to fabricate a structure in high stature, is selected for negative photoresist. After forming a Su-8 chamber, the UV adhesive chamber is made through a PDMS sub-chamber that is made from the Su-8 chamber. As such, this research shows a result of a liquid lenticular lens having slanted side walls with an angle of 75 degrees. The UV adhesive chamber having slanted side walls is more advantageous for electro-wetting effect achieving high diopter than the chamber having vertical side walls. After that, gold is evaporated for electrode, and Parylene C and Teflon AF1600 is deposited for dielectric and hydrophobic layer respectively. For two immiscible liquids, DI water and a blend of 1-Chloronaphthalene and Dodecane with specific portions are used. Two immiscible liquids are injected in underwater environment and a glass that is coated with ITO on one side is sealed by UV adhesive. The completed tunable lenticular lens can switch two and three dimensional images by using electro-wetting principle that changes surface tensions by applying voltage. Also, dioptric power and response time of the liquid lenticular lens array are measured.
- Published
- 2017
31. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications
- Author
-
Sebastian Lourdudoss, Himanshu Kataria, Yan-Ting Sun, Giriprasanth Omanakuttan, Zhechao Wang, Wondwosen Metaferia, Fredrik Olsson, and Carl Junesand
- Subjects
010302 applied physics ,Materials science ,Silicon photonics ,Silicon ,business.industry ,Hybrid silicon laser ,chemistry.chemical_element ,Heterojunction ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,chemistry ,0103 physical sciences ,Hydride vapour phase epitaxy ,Optoelectronics ,Photonics ,0210 nano-technology ,business ,Layer (electronics) - Abstract
We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.
- Published
- 2017
32. A fabrication method of opened structures for uniform liquid dosing in liquid lenticular systems
- Author
-
Dooseub Shin, Junoh Kim, Junsik Lee, Gyo Hyun Koo, Jee Hoon Sim, Cheoljoong Kim, and Yong Hyub Won
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,chemistry.chemical_compound ,020210 optoelectronics & photonics ,chemistry ,Silicon nitride ,Etching (microfabrication) ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,Reactive-ion etching ,Composite material ,Electroplating ,Layer (electronics) - Abstract
This study introduces a 3D lenticular system and its fabrication method operating with liquids. The lenses of the lenticular system consists of two immiscible liquids requiring a good uniformity of their amount. The amount is controlled by an opened structures fabricated by silicon KOH etching process. For the fabrication, a low pressure silicon nitride (LSN) is deposited on a bare silicon wafer followed by a photolithography and a reactive ion etching (RIE) remaining a 200nm LSN layer. A KOH etching process is done for 2 hours with a KOH solution of 40wt% in deionized water. To fabricate the opened structure, a time controlling is required not to be fully etched. The finalized silicon wafer is sputtered by a copper layer as a seed layer for an electroplating. By the electroplating with nickel, a master mold is made. To get the high transparency, poly methyl methacrylate (PMMA) is chosen for the substrate and a hot embossing process is done by fabricated nickel mold with PMMA. The PMMA is coated by gold as an electrode and parylene C and Teflon multi-layer as dielectric layers. For two immiscible liquids, deionized water and a mixture of dodecane and 1-Chloronaphthalene are used. The dosing process is done in underwater environment and the mixed oil is dosed uniformly as the oil has tendency to spread onto the substrate. After sealing the active liquid lenticular devices is fabricated and good uniformity is achieved.
- Published
- 2017
33. Intensified surface enhanced Raman signal of a graphene monolayer on a plasmonic substrate through the use of fluidic dielectrics
- Author
-
Gang Logan Liu, Georgios Veronis, Te Wei Chang, Manas Ranjan Gartia, and Amirreza Mahigir
- Subjects
Materials science ,Graphene ,Physics::Optics ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,Surface-enhanced Raman spectroscopy ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Signal ,0104 chemical sciences ,law.invention ,symbols.namesake ,law ,Band diagram ,symbols ,Physics::Chemical Physics ,0210 nano-technology ,Raman spectroscopy ,Layer (electronics) ,Plasmon - Abstract
It has been shown that surface enhanced Raman spectroscopy (SERS) has many promising applications in ultrasensitive detection of Raman signal of substances. However, optimizing the enhancement in SERS signal for different applications typically requires several levels of fabrication of active plasmonic SERS substrates. In this paper, we report the enhancement of SERS signal of a single layer of graphene located on a plasmonic nano-Lycurgus cup array after placing water droplets on it. The experimental data shows that addition of water droplets can enhance the SERS signal of the single layer of graphene about 10 times without requiring any modifications to the nano-Lycurgus cup array. Using fullwave electromagnetic simulations, we show that addition of water droplets enhances the local electric field at the graphene layer, resulting in stronger light-graphene interaction at the excitation pump laser wavelength. We also show that the addition of water droplets on the graphene layer enables us to modify the band diagram of the structure, in order to enhance the local density of optical states at the Raman emission wavelengths of the graphene layer. Numerical calculations of both the excitation field enhancement at the location of the graphene layer, and the emission enhancement due to enhanced local density of optical states, support the experimental results. Our results demonstrate an approach to boost the SERS signal of a target material by controlling the band diagram of the active nanostructured SERS substrate through the use of fluidic dielectrics. These results could find potential applications in biomedical and environmental technologies.
- Published
- 2017
34. Design and fabrication of a multi-layered solid dynamic phantom: validation platform on methods for reducing scalp-hemodynamic effect from fNIRS signal
- Author
-
Yukari Tanikawa, Toru Yamada, and Hiroshi Kawaguchi
- Subjects
Materials science ,Bar (music) ,business.industry ,Scattering ,Monte Carlo method ,01 natural sciences ,Signal ,Imaging phantom ,010309 optics ,03 medical and health sciences ,0302 clinical medicine ,Optics ,0103 physical sciences ,Transmittance ,business ,Absorption (electromagnetic radiation) ,Layer (electronics) ,030217 neurology & neurosurgery - Abstract
Scalp hemodynamics contaminates the signals from functional near-infrared spectroscopy (fNIRS). Numerous methods have been proposed to reduce this contamination, but no golden standard has yet been established. Here we constructed a multi-layered solid phantom to experimentally validate such methods. This phantom comprises four layers corresponding to epidermides, dermis/skull (upper dynamic layer), cerebrospinal fluid and brain (lower dynamic layer) and the thicknesses of these layers were 0.3, 10, 1, and 50 mm, respectively. The epidermides and cerebrospinal fluid layers were made of polystyrene and an acrylic board, respectively. Both of these dynamic layers were made of epoxy resin. An infrared dye and titanium dioxide were mixed to match their absorption and reduced scattering coefficients (μa and μs’, respectively) with those of biological tissues. The bases of both upper and lower dynamic layers have a slot for laterally sliding a bar that holds an absorber piece. This bar was laterally moved using a programmable stepping motor. The optical properties of dynamic layers were estimated based on the transmittance and reflectance using the Monte Carlo look-up table method. The estimated coefficients for lower and upper dynamic layers approximately coincided with those for biological tissues. We confirmed that the preliminary fNIRS measurement using the fabricated phantom showed that the signals from the brain layer were recovered if those from the dermis layer were completely removed from their mixture, indicating that the phantom is useful for evaluating methods for reducing the contamination of the signals from the scalp.
- Published
- 2017
35. Nanostructuring of sapphire using time-modulated nanosecond laser pulses
- Author
-
Lukas Bayer, Martin Ehrhardt, Klaus Zimmer, Igor Zagoranskiy, and Pierre Lorenz
- Subjects
010302 applied physics ,Materials science ,business.industry ,Far-infrared laser ,Pulse duration ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Fluence ,law.invention ,Optics ,law ,Etching ,0103 physical sciences ,Sapphire ,0210 nano-technology ,business ,Layer (electronics) - Abstract
The nanostructuring of dielectric surfaces using laser radiation is still a challenge. The IPSM-LIFE (laser-induced front side etching using in-situ pre-structured metal layer) method allows the easy, large area and fast laser nanostructuring of dielectrics. At IPSM-LIFE a metal covered dielectric is irradiated where the structuring is assisted by a self-organized molten metal layer deformation process. The IPSM-LIFE can be divided into two steps: STEP 1: The irradiation of thin metal layers on dielectric surfaces results in a melting and nanostructuring process of the metal layer and partially of the dielectric surface. STEP 2: A subsequent high laser fluence treatment of the metal nanostructures result in a structuring of the dielectric surface. At this study a sapphire substrate Al 2 O 3 (1-102) was covered with a 10 nm thin molybdenum layer and irradiated by an infrared laser with an adjustable time-dependent pulse form with a time resolution of 1 ns (wavelength λ = 1064 nm, pulse duration Δt p = 1 – 600 ns, Gaussian beam profile). The laser treatment allows the fabrication of different surface structures into the sapphire surface due to a pattern transfer process. The resultant structures were investigated by scanning electron microscopy (SEM). The process was simulated and the simulation results were compared with experimental results.
- Published
- 2017
36. The quality study of recycled glass phosphor waste for LED
- Author
-
Chun-Chin Tsai, Wood-Hi Cheng, Cin-Fu Chen, Cheng-Feng Yue, and Guan-Hao Chen
- Subjects
Glass recycling ,Materials science ,Bubble ,Metallurgy ,Mixing (process engineering) ,Sintering ,Phosphor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,010309 optics ,law ,0103 physical sciences ,Thermal stability ,0210 nano-technology ,Layer (electronics) ,Light-emitting diode - Abstract
To study the feasibility and quality of recycled glass phosphor waste for LED packaging, the experiments were conducted to compare optical characteristics between fresh color conversion layer and that made of recycled waste. The fresh color conversion layer was fabricated through sintering pristine mixture of Y.A.G. powder [yellow phosphor (Y3AlO12 : Ce3+). Those recycled waste glass phosphor re-melted to form Secondary Molten Glass Phosphor (S.M.G.P.). The experiments on such low melting temperature glass results showed that transmission rates of S.M.G.P. are 9% higher than those of first-sintered glass phosphor, corresponding to 1.25% greater average bubble size and 36% more bubble coverage area in S.M.G.P. In the recent years, high power LED modules and laser projectors have been requiring higher thermal stability by using glass phosphor materials for light mixing. Nevertheless, phosphor and related materials are too expensive to expand their markets. It seems a right trend and research goal that recycling such waste of high thermal stability and quality materials could be preferably one of feasible cost-down solutions. This technical approach could bring out brighter future for solid lighting and light source module industries.
- Published
- 2017
37. InGaN-based flexible light emitting diodes
- Author
-
Can Bayram
- Subjects
010302 applied physics ,Materials science ,business.industry ,Gallium nitride ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Indium gallium nitride ,01 natural sciences ,law.invention ,Biophotonics ,chemistry.chemical_compound ,Solid-state lighting ,Semiconductor ,chemistry ,law ,0103 physical sciences ,Optoelectronics ,Photonics ,0210 nano-technology ,business ,Layer (electronics) ,Light-emitting diode - Abstract
Novel layer release and transfer technology of single-crystalline GaN semiconductors is attractive for enabling many novel applications including flexible photonics and hybrid device integration. To date, light emitting diode (LED) research has been primarily focused on rigid devices due to the thick growth substrate. This prevented fundamental research in flexible inorganic LEDs, and limited the applications of LEDs in the solid state lighting (due to the substrate cost) and in biophotonics (i.e. optogenetics) (due to LED rigidness). In the literature, a number of methods to achieve layer transfer have been reported including the laser lift-off, chemical lift-off, and Smartcut. However, the release of films of LED layers (i.e. GaN semiconductors) has been challenging since their elastic moduli and chemical resistivity are much higher than most conventional semiconductors. In this talk, we are going to review the existing technologies and new mechanical release techniques (i.e. spalling) to overcome these problems.
- Published
- 2017
38. Experimental study on imaging of underwater microbubbles through supercavity layer
- Author
-
Tao Luo, Zongyuan Yang, Yajun She, Min Xia, and Xiao Xiao
- Subjects
Materials science ,Backscatter ,business.industry ,Acoustics ,Bubble ,Image processing ,Physics::Fluid Dynamics ,Optics ,Computer Science::Computer Vision and Pattern Recognition ,Microbubbles ,Current (fluid) ,Underwater ,business ,Saturation (chemistry) ,Layer (electronics) - Abstract
In order to figure out how changes in equipment and environment impact the imaging result and find out a best imaging condition, in this paper, microbubbles with micron diameter is detected and imaged through a simulated supercavity layer in laboratory. After the image processing, the result shows the changes in distance of bubble region affect the imaging little. When the detection angle is 90 degrees, the bubbles have the clearest imaging. And the growth of bubble number in imaging is increasing with current and reaching saturation at a constant value, and the smaller the diameter of bubble is, the higher sensitivity towards current has.
- Published
- 2017
39. Nanoporous gold film based SPR sensors for trace chemical detection
- Author
-
Zhi-mei Qi, Xiumei Wan, Xiaoqing Gong, Li Wang, and Dan-feng Lu
- Subjects
Materials science ,Adsorption ,Nanoporous ,Surface plasmon ,Nanotechnology ,Surface plasmon resonance ,Sputter deposition ,Thin film ,Absorption (electromagnetic radiation) ,Layer (electronics) - Abstract
Thin films of nanoporous gold (NPG) have both localized and propagating surface plasmon resonance (SPR) effects. The propagating SPR effect of NPG film combined with its huge internal surface area makes it applicable as an evanescent wave sensor with high sensitivity. In this work, NPG films with controlled thicknesses were fabricated on glass substrates by sputtering deposition of AuAg films followed by dealloying in nitric acid. By using of the NPG films as the sensing layer, a broadband wavelength-interrogated SPR sensor was prepared for chemical and biological detection. The propagating SPR absorption band in the visible-near infrared region was clearly observed upon exposure of the NPG film to air, and this band was detected to move to longer wavelengths in response to adsorption of molecules within the NPG film. Simulations based on Fresnel equations combined with Bruggeman approximation were carried out for optimizing the propagating SPR property of NPG film. The sensor’s performance was investigated using both bisphenol A (BPA) and lead (II) ions as analytes. According to the experimental results, the detection limits of the sensor are 5 nmol·L -1 for BPA and 1 nmol·L -1 for lead (II) ions. The work demonstrated the outstanding applicability of the NPG film based SPR sensor for sensitive environmental monitoring.
- Published
- 2017
40. Interface state density effect on the performance of graphene silicon heterojunction solar cell
- Author
-
Yushen Liu, Debao Zhang, Xifeng Yang, Ma Yulong, Bencai Lin, Yawei Kuang, Zhenguang Shao, and Feng Jinfu
- Subjects
010302 applied physics ,Materials science ,Silicon ,Graphene ,business.industry ,Schottky barrier ,Photovoltaic system ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Planar ,chemistry ,law ,0103 physical sciences ,Solar cell ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) - Abstract
A planar structure consisting of graphene layer as the hole transport material, and n-type silicon as substrate is simulated. The degradation of this cell caused by high interface state density has been carried out. The simulated results match published experimental results indicating the accuracy of the physics-based model. Using this model, the effect of interface state density as zero, 1×1016cm-2, 1×1017cm-2 on the photovoltaic performance has been studied. The obtained IV and EQE characteristic based on realistic parameters shows that the interface state playing a prominent role in graphene silicon schottky contact.
- Published
- 2017
41. Temperature switching waves in a silicon wafer on lamp-based heating
- Author
-
Vladimir V. Ovcharov, Valeriya P. Prigara, Valery I. Rudakov, and Alexey L. Kurenya
- Subjects
Materials science ,Bistability ,Physics::Instrumentation and Detectors ,business.industry ,Doping ,Phase (waves) ,Optoelectronics ,Wafer ,Radiation ,business ,Layer (electronics) ,Computer Science::Other - Abstract
The dynamic properties of a silicon wafer thermally heated up under a bistable regime in a lamp-based reactor are simulated with regard to an optical non-gomogeneity as a nucleus of a high-temperature phase. The optical non-gomogeneity is represented by a doped layer region on the surface of the wafer imposed by radiation. It is shown that under these conditions temperature switching waves are formed in the wafer. Experimental verification of propagating the switching waves of temperature is obtained at the silicon wafer transition derived from the lower-temperature state to its upper-temperature state and the velocity of the waves is evaluated.
- Published
- 2016
42. The features of CNT growth on catalyst-content amorphous alloy layer by CVD-method
- Author
-
Dmitry G. Gromov, Sergey Gavrilov, Alexey Yu. Trifonov, Radoslaw Ciesielski, Tomasz P. Maniecki, A. A. Pavlov, Sergey V. Bulyarskii, E. Kitsyuk, S. V. Dubkov, and Pawel Mierczynski
- Subjects
Zirconium ,Materials science ,Amorphous metal ,Alloy ,chemistry.chemical_element ,Nanotechnology ,Substrate (electronics) ,Chemical vapor deposition ,Carbon nanotube ,engineering.material ,law.invention ,chemistry ,Chemical engineering ,law ,engineering ,Crystallization ,Layer (electronics) - Abstract
This work is devoted to the CVD-synthesis of arrays of carbon nanotubes (CNTs) on Co-Zr-N-(O), Ni-Nb-N-(O), Co- Ta-N-(O) catalytic alloy films from gas mixture of C 2 H 2 +NH 3 +Ar at a substrate temperature of about 550°C.Heating of the amorphous alloy causes its crystallization and squeezing of the catalytic metal onto the surface. As a result, small catalyst particles are formed on the surface. The CNT growth takes place after wards on these particles. It should be noted that the growth of CNT arrays on these alloys is insensitive to the thickness of alloy film, which makes this approach technically attractive. In particular, the possibility of local CNT growth at the ends of the Co-Ta-N-(O) film and three-level CNT growth at the end of more complex structure SiO 2 /Ni-Nb-N-O/SiO 2 /Ni-Nb-N-O/SiO 2 /Ni-Nb-N-O/SiO 2 is demonstrated.
- Published
- 2016
43. Metal-assisted chemical etching of silicon with different metal films and clusters: a review
- Author
-
A.V. Sysa, Alexander A. Pavlov, A. Dudin, O. Pyatilova, Sergey Gavrilov, A. I. Savitskiy, and A. Shuliatyev
- Subjects
010302 applied physics ,Materials science ,Morphology (linguistics) ,Silicon ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Isotropic etching ,Metal ,chemistry ,Nanofiber ,visual_art ,0103 physical sciences ,visual_art.visual_art_medium ,Porous layer ,0210 nano-technology ,Layer (electronics) - Abstract
In this work we provided a review of the study of MACE (metal-assisted chemical etching) of Si with Ag, Pt, Ni and Au films and clusters. Type and shape of the metal mask play an important role in determination of morphology of the nanostructured layer. It is possible to form both wide range of porous layer and nanowires array. The basic features of the MACE with various types and shape of the metal were revealed.
- Published
- 2016
44. Low-dimensional transit-time diodes for terahertz generation
- Author
-
Vladimir Borzdov, F. Davydov, V. Vyurkov, R. Khabutdinov, Leonid Fedichkin, D. Svintsov, Igor Semenikhin, A. V. Borzdov, and K. Rudenko
- Subjects
Materials science ,Silicon ,Physics::Instrumentation and Detectors ,business.industry ,Scattering ,Terahertz radiation ,Physics::Optics ,chemistry.chemical_element ,Transit time ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,chemistry ,0103 physical sciences ,Optoelectronics ,Wafer ,0210 nano-technology ,business ,Layer (electronics) ,Diode - Abstract
Low-dimensional transit-time structures for terahertz generation and detection are discussed. The negative conductivity at terahertz frequencies is crucial for generation. It may arise in an array of silicon nanowires (1D), as well as in a thin silicon layer (2D) in “silicon-on-insulator” wafer. Ballistic regime, scattering regime and alternating barrier injection regime (BARITT) are simulated. The latter allows a negative conductivity even for rather strong scattering.
- Published
- 2016
45. Reflectance measurements of GaP-ZnO core-shell NWs
- Author
-
Ľuboš Šušlik, Jozef Novák, Dusan Pudis, Jana Ďurišová, and Agáta Laurenčíková
- Subjects
Materials science ,business.industry ,Sputtering ,Nanowire ,Analytical chemistry ,Optoelectronics ,Substrate (electronics) ,Metalorganic vapour phase epitaxy ,Sputter deposition ,business ,Epitaxy ,Layer (electronics) ,Nanocrystalline material - Abstract
This paper reports on optical measurements of GaP nanowire (NW) arrays with thin nanocrystalline ZnO layer. The GaP core was prepared by metal organic vapor phase epitaxy (MOVPE) and the ZnO shell by RF sputtering by different sputtering conditions. The NWs were grown from Au seeds created from very thin Au layer deposited on top of GaP substrate. Reflectance of different NWs structures covered by ZnO coating was measured in angular dependence in wide range of angles and compared. We experimentally show the reflectance suppression of the ZnO coated NWs in the wide range of angles.
- Published
- 2016
46. Structural investigation of MF, RF and DC sputtered Mo thin films for backside photovoltaic electrode
- Author
-
Artur Rydosz, A. Małek, and Konstanty Marszałek
- Subjects
Materials science ,business.industry ,Metallurgy ,Sputter deposition ,Quantum dot solar cell ,Copper indium gallium selenide solar cells ,chemistry.chemical_compound ,chemistry ,Sputtering ,Optoelectronics ,Thin film ,business ,Layer (electronics) ,Copper indium gallium selenide ,Ohmic contact - Abstract
Recently photovoltaics attracts much attention of research and industry. The multidirectional studies are carried out in order to improve solar cells performance, the innovative materials are still searched and existing materials and technology are optimized. In the multilayer structure of CIGS solar cells molybdenum (Mo) layer is used as a back contact. Mo layers meet all requirements for back side electrode: low resistivity, good adhesion to the substrate, high optical reflection in the visible range, columnar structure for Na ions diffusion, formation of an ohmic contact with the ptype CIGS absorber layer, and high stability during the corrosive selenization process. The high adhesion to the substrate and low resistivity in single Mo layer is difficult to be achieved because both properties depend on the deposition parameters, particularly on working gas pressure. Therefore Mo bilayers are applied as a back contact for CIGS solar cells. In this work the Mo layers were deposited by medium frequency sputtering at different process parameters. The effect of substrate temperature within the range of 50°C-200°C and working gas pressure from 0.7 mTorr to 7 mTorr on crystalline structure of Mo layers was studied.
- Published
- 2016
47. An influence of formation methods of laser layer’s welding on their phase composition and magnetic properties
- Author
-
Nikolay G. Galkin, Aleksander I. Nikitin, Yuri N. Kulchin, Evgeniy P. Subbotin, M. E. Stebliy, and D.S. Yatsko
- Subjects
Materials science ,Argon ,Ferromagnetic material properties ,Metallurgy ,Alloy ,chemistry.chemical_element ,Laser beam welding ,Welding ,Coercivity ,engineering.material ,law.invention ,chemistry ,law ,engineering ,Duralumin ,Layer (electronics) - Abstract
Comparative study of laser welding method has been carried out at four different methods of welding in Sm-Co system on two types of substrates: stainless steel and duralumin and at two types of argon gas flow in the place of melting. The comparison of compositions and magnetic properties of welded coatings has been done for all formed samples. It was established that SmCo 8.5 compound conserves only after laser welding of SmCo 8.5 tablets with additional contribution of oxides that results to strongly decrease of saturation magnetization and coercivity as compared with SmCo 8.5 films. In the case of the standard argon gas flow and using of Sm and Co powders the quick melting of the stainless steel substrate surface occurs that results to strong diffusion of transition metals to the welded layer and formation of Sm-based, noncrystalline alloy with only two crystalline phases (Co 0.72 Fe 0.28 and Co), which demonstrate soft ferromagnetic properties. The formation of Sm-Co chemical compound is blocked when the Co welding carried out after Sm welding due to formation of Sm oxides on the welding surface. In the conditions of a stable argon atmosphere around the sample the pure Co and Sm crystals have been formed with weak ferromagnetic properties.
- Published
- 2016
48. Protein solution photomodification analysis by means of craquelure structures
- Author
-
Alexander N. Malov, Alexey N. Morozov, Elena A. Timoshenko, and Anna V. Neupokoeva
- Subjects
Craquelure ,Materials science ,Varnish ,Analytical chemistry ,Laser ,Threshold energy ,Protein solution ,law.invention ,Wavelength ,law ,visual_art ,visual_art.visual_art_medium ,Composite material ,Layer (electronics) ,Shrinkage - Abstract
A craquelure structure of protein film as indicator of macromolecule state is discussing. Craquelure is a network of fine cracks or crackles on the surface of a painting, caused chiefly by shrinkage of paint film or varnish. The actions of laser radiation in the red and green spectral region on the protein film craquelure structure by the example of albumin are considering. It is experimentally shown that after drying the protein layer a craquelure pattern (variety of cracks in the layer) is formed with the parameters strongly modified by the laser action and depending on the time (energy density) of exposure. The threshold energy of laser action is defined; it does not depend on wavelength significantly.
- Published
- 2016
49. Comparison of ALD and IBS Al2O3 films for high power lasers
- Author
-
Ping Ma, Detlev Ristau, Marc Christopher Wurz, Lars Jensen, Hao Liu, and Jurgen Becker
- Subjects
Materials science ,business.industry ,Band gap ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,law.invention ,010309 optics ,Atomic layer deposition ,Wavelength ,Optical coating ,law ,0103 physical sciences ,Optoelectronics ,Microelectronics ,0210 nano-technology ,Absorption (electromagnetic radiation) ,business ,Layer (electronics) - Abstract
Atomic layer deposition (ALD) has been widely studied in Micro-electronics due to its self-terminating property. ALD also grows film coatings with precise thickness and nodular-free structure, which are desirable properties for high power coatings. The depositing process was studied to produce uniform, stable and economic Al2O3 single layers. The layer properties relevant to high power laser industry were studied and compared with IBS Al2O3 single layers. ALD Al2O3 showed a stable growth of 0.104 nm/cycle, band gap energy of 6.5 eV and tensile stress of about 480 MPa. It also showed a low absorption at wavelength 1064 nm within several ppm, and LIDT above 30 J/cm2. These properties are superior to the reference IBS Al2O3 single layers and indicate a high versatility of ALD Al2O3 for high power coatings.
- Published
- 2016
50. A study of metal-dielectric mirrors technology with regard to the laser-induced damage threshold
- Author
-
Václav Škoda and Jan Vanda
- Subjects
Materials science ,business.industry ,Pulse duration ,Substrate (electronics) ,Dielectric ,engineering.material ,Laser ,law.invention ,Wavelength ,Optics ,Coating ,law ,Monolayer ,engineering ,Optoelectronics ,business ,Layer (electronics) - Abstract
Four sets of mirror samples with multilayer system SiO2/Ta2O5 on silver metal layer were manufactured using modified coating technology of the metal layer. Both BK7 and fused silica substrate materials were used. Laser-induced-damage-threshold of mirrors was tested using a laser apparatus working at 1030 nm wavelength, 3 ps pulse length at 1 kHz repetition rate and in 105- on - 1 test mode. The measured damage thresholds values at 45 deg incidence and Ppolarization were compared for different substrate materials and different technology of the metal layer preparation. Additionally four sets of samples with silver layer covered by SiO2 protecting monolayer were manufactured and tested for the comparison.
- Published
- 2016
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.