Search

Showing total 1,255 results

Search Constraints

Start Over You searched for: Topic electric power consumption Remove constraint Topic: electric power consumption Language english Remove constraint Language: english Publisher ieee Remove constraint Publisher: ieee
1,255 results

Search Results

1. Packetizing the Power Grid: The rules of the Internet can also Balance Electricity Supply and Demand.

2. Condensate Effects on Power and Torque Requirements During Starting of Dryer Sections.

3. Weighted Sum-Rate and Energy Efficiency Maximization for Joint ITS and IRS Assisted Multiuser MIMO Networks.

4. Subcarrier Allocation and Precoder Design for Energy Efficient MIMO-OFDMA Downlink Systems.

5. A Study on the Synchronous Reluctance Motor Design for High Torque by Using RSM.

6. Data Analytics Based Power Quality Investigations in Emerging Electric Power System Using Sparse Decomposition.

7. Multitask Bayesian Spatiotemporal Gaussian Processes for Short-Term Load Forecasting.

8. Low-Power 19-Transistor True Single-Phase Clocking Flip-Flop Design Based on Logic Structure Reduction Schemes.

9. Dynamic Pricing and Prices Spike Detection for Industrial Park With Coupled Electricity and Thermal Demand.

10. Power-Efficiency Characteristics of Class-F and Inverse Class-F Synchronous Rectifiers.

11. A Decision Support System for Wind Power Production.

12. Designing Optimal Surface Currents for Efficient On-Chip mm-Wave Radiators With Active Circuitry.

13. SSIM-Based Quality-on-Demand Energy-Saving Schemes for OLED Displays.

14. Bottom-Up Load Forecasting With Markov-Based Error Reduction Method for Aggregated Domestic Electric Water Heaters.

15. Mixed Tunnel-FET/MOSFET Level Shifters: A New Proposal to Extend the Tunnel-FET Application Domain.

16. An Empirical Model for Predicting SE Cross Section for Combinational Logic Circuits in Advanced Technologies.

17. A Wideband SiGe BiCMOS Frequency Doubler With 6.5-dBm Peak Output Power for Millimeter-Wave Signal Sources.

18. Microprocessor Optimizations for the Internet of Things: A Survey.

19. YodaNN: An Architecture for Ultralow Power Binary-Weight CNN Acceleration.

20. A New Field Test Method for Determining Energy Efficiency of Induction Motor.

21. Energy-Efficient Convolution Architecture Based on Rescheduled Dataflow.

22. A Simple Piecewise Model of Reset/Set Transitions in Bipolar ReRAM Memristive Devices.

24. A 28 nm DSP Powered by an On-Chip LDO for High-Performance and Energy-Efficient Mobile Applications.

25. A 0.07 mm^2 Asynchronous Logic CMOS Pulsed Receiver Based on Radio Events Self-Synchronization.

26. Reconditioning: A Framework for Automatic Power Optimization of QDI Circuits.

27. Capacity-Approaching TQC-LDPC Convolutional Codes Enabling Power-Efficient Decoders.

28. Stepwise Actuation of an Electrostatic Bimorph Cantilever Actuator Using a Patterned Bottom Electrode.

29. Probabilistic Residential Load Forecasting Based on Micrometeorological Data and Customer Consumption Pattern.

30. Robust Nonlinear Economic MPC Based Management of a Multi Energy Microgrid.

31. Effects of Household Battery Systems on LV Residential Feeder Voltage Management.

32. A Gm-C Delta-Sigma Modulator With a Merged Input-Feedback Gm Circuit for Nonlinearity Cancellation and Power Efficiency Enhancement.

33. Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications.

34. The Energy Management and Optimized Operation of Electric Vehicles Based on Microgrid.

35. Reconfigurable CORDIC-Based Low-Power DCT Architecture Based on Data Priority.

36. Optimization of the Settings of Multiphase Induction Heating System.

37. Multi-timescale Active Distribution Network Scheduling Considering Demand Response and User Comprehensive Satisfaction.

38. A Pricing Method for Distribution System Aggregators Considering Differentiated Load Types and Price Uncertainty.

39. Network-Aware Demand-Side Management Framework With A Community Energy Storage System Considering Voltage Constraints.

40. VO2-Based MEMS Mirrors.

41. Electric-Vehicle Navigation System Based on Power Consumption.

42. A Low-Complexity Power Allocation Strategy to Minimize Sum-Source-Power for Multi-User Single-AF-Relay Networks.

43. Delay and Power Consumption in LTE/LTE-A DRX Mechanism With Mixed Short and Long Cycles.

44. Establishing a Load-Based Upper Size Limit to Control Oversizing of Electrical Equipment.

45. On the Interaction Between Load Balancing and Speed Scaling.

46. Wide-Supply-Range All-Digital Leakage Variation Sensor for On-Chip Process and Temperature Monitoring.

47. A Refresh-Less eDRAM Macro With Embedded Voltage Reference and Selective Read for an Area and Power Efficient Viterbi Decoder.

48. Variability and Trend-Based Generalized Rule Induction Model to NTL Detection in Power Companies.

49. An Embedded Passive Gain Technique for Asynchronous SAR ADC Achieving 10.2 ENOB 1.36-mW at 95-MS/s in 65 nm CMOS.

50. Efficiency Comparison of Voltage-Source and Current-Source Drive Systems for Medium-Voltage Applications.