43 results on '"Stefaan Van Huylenbroeck"'
Search Results
2. 57‐3: MircoLED Display Integration on 300mm Advanced CMOS Platform
- Author
-
Soeren Steudel, Johan Vertommen, Emmanuel Le Boulbar, Giuseppe Buscemi, Lars Bach, Stefaan Van Huylenbroeck, Hariharan Arumugam, Douglas Charles La Tulipe, Joeri De Vos, Andy Miller, Haris Osman, and Kenneth June Rebibis
- Subjects
Organic Chemistry ,Biochemistry - Published
- 2022
- Full Text
- View/download PDF
3. Impacts of Through-Silicon Vias on Total-Ionizing-Dose Effects and Low-Frequency Noise in FinFETs
- Author
-
Robert A. Reed, Simeng E. Zhao, Kan Li, En Xia Zhang, Mariia Gorchichko, Michael L. Alles, Daniel M. Fleetwood, Gaspard Hiblot, Anne Jourdain, Peng Fei Wang, Stefaan Van Huylenbroeck, Mahmud Reaz, and Ronald D. Schrimpf
- Subjects
Nuclear and High Energy Physics ,Materials science ,Silicon ,010308 nuclear & particles physics ,business.industry ,Transconductance ,Infrasound ,chemistry.chemical_element ,01 natural sciences ,Threshold voltage ,PMOS logic ,Nuclear Energy and Engineering ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Noise (radio) ,NMOS logic - Abstract
Total-ionizing-dose (TID) effects and low-frequency noise are evaluated in advanced bulk nMOS and pMOS FinFETs with SiO2/HfO2 gate dielectrics. Otherwise identical devices built with and without through-silicon via (TSV) integration exhibit threshold voltage shifts of less than 25 mV and changes in maximum transconductance of less than 1% up to 2 Mrad(SiO2). TSV integration negligibly impacts threshold shifts and degradation of subthreshold swing and $I_{\mathrm{\scriptscriptstyle ON}}/I_{\mathrm{\scriptscriptstyle OFF}}$ ratios. Similar low-frequency noise magnitudes and frequency dependencies are observed before and after TID irradiation for each device type. Effective densities of the near-interfacial electron traps responsible for the noise in the nMOS devices increase as the surface potential moves toward midgap, while effective densities of the hole traps that cause the noise in the pMOS devices increase as the surface potential moves toward the valence band edge.
- Published
- 2021
- Full Text
- View/download PDF
4. Optical Beam-Based Defect Localization Methodologies for Open and Short Failures in Micrometer-Scale 3-D TSV Interconnects
- Author
-
Joeri De Vos, Michele Stucchi, Eric Beyne, Stefaan Van Huylenbroeck, Ingrid De Wolf, Kristof J. P. Jacobs, and Yunlong Li
- Subjects
Photocurrent ,Interconnection ,Materials science ,Scanning electron microscope ,business.industry ,02 engineering and technology ,Dielectric ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,Capacitance ,Industrial and Manufacturing Engineering ,020202 computer hardware & architecture ,Electronic, Optical and Magnetic Materials ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Electrical measurements ,Pinhole (optics) ,Electrical and Electronic Engineering ,0210 nano-technology ,business - Abstract
We report laser-based fault isolation methodologies for the localization of open and short failures in $1 \times 5\,\,\mu \text{m}$ via-last through-silicon via (TSV) structures for 3-D system-on-chip (SoC) integration. Due to the photosensitive TSV interconnect capacitance, observation of the photocapacitance response enables nondestructive localization of metallization ruptures. A light-induced capacitance alteration (LICA) measurement is demonstrated on an open failed $1 \times 5\,\,\mu \text{m}$ TSV chain structure with a manufacturing defect. We validate our measurements with active voltage contrast imaging in the scanning electron microscope (SEM) and focused-ion beam (FIB) cross sectioning. Second, TSV dielectric defects generating leakage current between TSV and substrate (i.e., short defects) are detected and localized by sensing the laser-induced TSV photocurrent. An optical beam-induced current (OBIC) measurement is demonstrated on electrically overstressed TSV array structures whereby multiple TSVs are configured in a parallel arrangement. By applying a selective substrate removal process, we can expose the full TSV array and perform optical and tilted SEM inspection and reveal pinhole defects in the TSV liner. We further investigate the effect of breakdown energy on the pinhole formation, relate electrical measurements to SEM inspection, and confirm our results by FIB cross sectioning.
- Published
- 2020
- Full Text
- View/download PDF
5. Modeling Copper Plastic Deformation and Liner Viscoelastic Flow Effects on Performance and Reliability in Through Silicon Via (TSV) Fabrication Processes
- Author
-
Wei Guo, Aditya P. Karmarkar, Xiaopeng Xu, Stefaan Van Huylenbroeck, Philippe Absil, Mario Gonzalez, Geert Van der Plas, Karim El Sayed, and Eric Beyne
- Subjects
010302 applied physics ,Materials science ,Fabrication ,Through-silicon via ,Silicon ,chemistry.chemical_element ,Plasticity ,01 natural sciences ,Viscoelasticity ,Electronic, Optical and Magnetic Materials ,chemistry ,Residual stress ,0103 physical sciences ,Hardening (metallurgy) ,Extrusion ,Electrical and Electronic Engineering ,Composite material ,Safety, Risk, Reliability and Quality - Abstract
Copper plastic deformation and liner viscoelastic flow effects on performance and reliability are studied for TSV-middle and backside TSV-last fabrication processes. Incremental plasticity model with nonlinear hardening and Maxwell viscoelasticity model are employed to characterize TSV copper and liner dielectrics material behaviors. The model parameters are chosen to match experimental data. It is found that both plastic deformation in copper and viscoelastic flow in dielectrics affect the trade-off between TSV keep-out-zone (KOZ) size and TSV extrusion. The backside TSV-last process generates less residual stresses and mobility changes in active silicon than the TSV-middle process, and avoids M1 metal resistance increase due to TSV extrusion at elevated process temperatures. The TSV plastic deformation and liner viscoelastic flow effects on residual stresses and reliability in M1 interconnects and dielectrics are also examined for two different M1 configurations.
- Published
- 2019
- Full Text
- View/download PDF
6. Multi-tier $\mathrm{N}=4$ Binary Stacking, combining Face-to-Face and Back-to-Back Hybrid Wafer-to-Wafer Bonding Technology
- Author
-
Andy Miller, Geert Van der Plas, Joeri De Vos, Serena Iacovo, Lieve Teugels, Gerald Beyer, Eric Beyne, Ferenc Fodor, and Stefaan Van Huylenbroeck
- Subjects
Materials science ,Passivation ,Wafer bonding ,business.industry ,Stacking ,chemistry.chemical_element ,Binary number ,Stack (abstract data type) ,chemistry ,Aluminium ,Optoelectronics ,Wafer ,business ,Realization (systems) - Abstract
A binary wafer-to-wafer stacking scheme is advantageous over a sequential approach in terms of manufacturing cost and its impact on the stacked system yield. In this paper, such a binary wafer-to-wafer stacking flow is demonstrated. Two full thickness wafers are paired Face-to-Face using a $2\mu \mathrm{m}$ pitch hybrid bonding technology, followed by top wafer thinning to $2\mu \mathrm{m}$ . The Face-to-Face connections are fed through to the thinned top wafer surface by means of a $1\mu \mathrm{m}$ diameter by $5\mu \mathrm{m}$ deep via-last TSV. $2\mu \mathrm{m}$ pitch hybrid backside pads are realized on top of these via-last TSVs, at the same time levelling out and planarizing the backside of the Face-to-Face bonded wafer pairs. Two $\mathrm{N}=2$ Face-to-Face bonded wafer pairs are Back-to-Back hybrid bonded to each other, realizing an $\mathrm{N}=4$ multi-tier wafer stack. The N4 top wafer is thinned to $5\mu \mathrm{m}$ , revealing the nails of $5\mu \mathrm{m}$ diameter by $8\mu \mathrm{m}$ deep via-middle TSVs, implemented on this N4 wafer prior to the Face-to-Face bonding. An N4 backside passivation and an aluminum METPASS module finishes the multi-tier $\mathrm{N}=4$ process flow. The paper describes the realization of the above explained integration flow. Several process challenges are extensively elaborated. Electrical results, featuring 100% yielding Back-to-Back kelvin and interwoven chains connections, demonstrate the maturity of this multi-tier $\mathrm{N}=4$ binary stacking process.
- Published
- 2021
- Full Text
- View/download PDF
7. 10 and 7 μm Pitch Thermo-compression Solder Joint, Using A Novel Solder Pillar And Metal Spacer Process
- Author
-
Ehsan Shafahian, Gerald Beyer, Inge De Preter, Carine Gerets, Eric Beyne, Fumihiro Inoue, Jaber Derakhshandeh, Giovanni Capuz, Julien Bertheau, Andy Miller, Fabrice Duval, Alain Phommahaxay, Pieter Bex, T. Webers, Geert Van der Plas, Stefaan Van Huylenbroeck, Lin Hou, and Vladimir Cherman
- Subjects
010302 applied physics ,Materials science ,Yield (engineering) ,020208 electrical & electronic engineering ,Process (computing) ,02 engineering and technology ,Deformation (meteorology) ,Compression (physics) ,01 natural sciences ,Metal ,visual_art ,Soldering ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,visual_art.visual_art_medium ,Process window ,Composite material ,Joint (geology) - Abstract
In this paper, spacer bumps concept is introduced to increase the process window for TCB, lower the sensitivity of electrical yield to bump height variation, maintain the gap between two dies and to prevent too much solder deformation for a test vehicle having multi-diameter bumps from 40um down to 5um pitches. Adding spacer bumps improves the electrical yield dramatically to close to 100% and ensures having good solder joint and IMC formation for both face to face N=2 and back to face N=4 stacks.
- Published
- 2020
- Full Text
- View/download PDF
8. Etch process modules development and integration in 3D-SOC applications
- Author
-
Gerald Beyer, Joeri De Vos, Lan Peng, Anne Jourdain, Daniele Piumi, Eric Beyne, Nina Tutunjyan, Andy Miller, Nouredine Rassoul, Stefaan Van Huylenbroeck, Fumihiro Inoue, and Stefano Sardo
- Subjects
Computer science ,Process (engineering) ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dielectric ,Overlay ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Chip ,Atomic and Molecular Physics, and Optics ,020202 computer hardware & architecture ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,Development (topology) ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,Scaling - Abstract
Since the challenges of maintaining the Moore's law - through traditional dimensional scaling or exploiting new materials properties - are becoming increasingly difficult, 3D integration technologies are gaining more and more attention and importance. At system level 3D-SOC solutions are of great interest, in particular those obtained through Wafer-to-Wafer (W2W) bonding due to superior overlay performance. In this paper we present the development of etch process modules for fine pitch via last interconnects realized on wafers with dielectric bonding and their integration in a packaging test chip, followed by electrical characterization.
- Published
- 2018
- Full Text
- View/download PDF
9. Statistical Distribution of Through-Silicon via Cu Pumping
- Author
-
Eric Beyne, Tom Van der Donck, Joke De Messemaeker, Olalla Varela Pedreira, Philippe Roussel, Stefaan Van Huylenbroeck, Michele Stucchi, Ingrid De Wolf, and Kristof Croes
- Subjects
010302 applied physics ,Through-silicon via ,Annealing (metallurgy) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Microstructure ,01 natural sciences ,Molecular physics ,Electronic, Optical and Magnetic Materials ,Normal distribution ,Deformation mechanism ,0103 physical sciences ,Log-normal distribution ,Electronic engineering ,Grain boundary ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,Safety, Risk, Reliability and Quality - Abstract
Cu pumping is defined as the irreversible extrusion of Cu from Cu-filled through-silicon vias (TSVs) exposed to high temperatures. The distribution of Cu pumping values over the TSVs of a single wafer has a large intrinsic spread. In previous publications both a lognormal distribution and a distribution of the maximum of two normal variables were used to fit experimental data. In this paper, these two types of statistical distribution are compared, showing that the maximum of two normal distributions provides a better fit, in particular at the right tail which is more significant for the potential reliability impact of Cu pumping. Also, it is shown how Cu pumping is determined by the network of random high angle grain boundaries in the Cu region near the TSV top, as an extension of a previous analysis which occurred at the TSV top surface only. This relation between Cu pumping and Cu microstructure provides a physical interpretation of the maximum of two normal distributions, based on the deformation mechanisms underlying Cu pumping.
- Published
- 2017
- Full Text
- View/download PDF
10. Process Complexity and Cost Considerations of Multi-Layer Die Stacks
- Author
-
Joeri De Vos, Giovanni Capuz, Samuel Suhard, Gerald Beyer, Andy Miller, Jaber Derakhshandeh, Soon-Wook Kim, Alain Phommahaxay, Erik Jan Marinissen, Eric Beyne, Pieter Bex, Stefaan Van Huylenbroeck, Dimitrios Velenis, Kenneth June Rebibis, and Geert Van der Plas
- Subjects
010302 applied physics ,Data processing ,Yield (engineering) ,Computer science ,Stacking ,02 engineering and technology ,Thermocompression bonding ,021001 nanoscience & nanotechnology ,01 natural sciences ,Process complexity ,Die (integrated circuit) ,Manufacturing cost ,Reliability engineering ,0103 physical sciences ,0210 nano-technology ,Multi layer - Abstract
The increased requirements of data processing and reduced data latency has driven the demand for multi-layer 3D stacks for high performance systems and memory applications. In this paper, different approaches for multi-layer stacking are considered, including wafer-to-wafer (W2W) and die-to-die (D2D) stacking. The complexity of each approach is evaluated in terms of manufacturing cost and its impact on the stacked-system yield.
- Published
- 2019
- Full Text
- View/download PDF
11. A Highly Reliable 1.4μm Pitch Via-Last TSV Module for Wafer-to-Wafer Hybrid Bonded 3D-SOC Systems
- Author
-
Joeri De Vos, Zaid El-Mekki, Andy Miller, Karthik Muga, Stefaan Van Huylenbroeck, Geraldine Jamieson, G. Beyer, Michele Stucchi, Eric Beyne, and Nina Tutunjyan
- Subjects
Fabrication ,Materials science ,Passivation ,Silicon ,business.industry ,Oxide ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,chemistry.chemical_compound ,chemistry ,Breakdown voltage ,Optoelectronics ,Wafer ,0210 nano-technology ,Daisy chain ,business - Abstract
This paper demonstrates the fabrication of a reliable 0.7µm diameter and 5µm deep (0.7x5µm) via-last module, fitting a 1.4µm TSV pitch. Enabling sub-micron TSV diameters requires a thinner photo resist, however still withstanding the top passivation dielectric etch, the deep silicon etch and the bottom dielectric etch. The actual TSV silicon diameter is 0.8µm just below the top dielectric hard mask, but reduces to 0.7µm in the middle and to 0.65µm at the bottom of the via. The bottom dielectric tri-layer, consisting of an STI oxide, a thin SiN and a PMD oxide layer, is etched using a dedicated three step selective etch recipe. A thin ALD TiN embedded barrier is implemented, assuring good TSV reliability. An alternative and scalable protection of the oxide liner at the top of the TSV during bottom liner etch is worked out. It makes use of an APF strippable amorphous carbon film. Despite the sub-micron TSV diameter, a conventional PVD Ta barrier and PVD Cu seed is still maintained. Discontinuities in the PVD Cu seed are repaired by using a 30nm thin alkaline ECD seed layer enhancement (SLE), resulting in a conformal copper seed all over the TSV and ensuring void less ECD copper fill. Electrical results prove the maturity of this 0.7µm diameter, 1.4µm pitch via-last module. The connectivity of the TSV, from wafer front to back side, has been checked by means of kelvin and daisy chain structures, showing 100% yield and low spread on the measured resistance values. High breakdown voltage of the TSVs is obtained. The integrity of the oxide liner all over the TSV sidewall is proven by means of IV-controlled reliability measurements (IVCTRL). The breakdown voltage Vbd has very little dependence on the applied stress voltage ramp rate, resulting in high field accelerating factor γ, confirming the high TSV liner/barrier reliability.
- Published
- 2019
- Full Text
- View/download PDF
12. Performance and Reliability Impact of Copper Plasticity in Backside TSV-Last Fabrication Process
- Author
-
Philippe Absil, Mario Gonzalez, Karim El Sayed, Xiaopeng Xu, Geert Van der Plas, Wei Guo, Eric Beyne, Stefaan Van Huylenbroeck, and Aditya P. Karmarkar
- Subjects
010302 applied physics ,Fabrication ,Materials science ,business.industry ,Mechanical engineering ,02 engineering and technology ,Structural engineering ,Edge (geometry) ,Plasticity ,Deformation (meteorology) ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Stress (mechanics) ,Back end of line ,Reliability (semiconductor) ,Creep ,0103 physical sciences ,Electrical and Electronic Engineering ,0210 nano-technology ,Safety, Risk, Reliability and Quality ,business - Abstract
The effects of copper permanent deformation during thermal cycles in backside through-silicon via (TSV)-last fabrication process are studied using an advanced 3-D simulator. The plasticity and creep model parameters are chosen to match experimental data. Two sets of different TSV configurations and back end of line (BEOL) layouts are utilized to examine TSV reliability, BEOL reliability, and front-end device performance after postplating thermal excursion. The results indicate that the copper plasticity deformation affects TSV stress distributions for TSV-last fabrication process. The configuration employing a thicker liner made of a softer material shows better TSV and BEOL reliability and lower TSV stress-induced device performance impact. It is also observed that the BEOL layout near the TSV edge needs to be optimized as the BEOL structures in this region experience larger mechanical stress and lower reliability.
- Published
- 2016
- Full Text
- View/download PDF
13. Dielectric liner reliability in via-middle through silicon vias with 3 Micron diameter
- Author
-
Sanjay Gopinath, Yunlong Li, Gerald Beyer, Daniela M. Anjos, Philippe Roussel, Jengyi Yu, Eric Beyne, Mohand Brouri, Matthew S. Thorum, Kristof Croes, and Stefaan Van Huylenbroeck
- Subjects
010302 applied physics ,Materials science ,Dielectric strength ,Silicon ,business.industry ,chemistry.chemical_element ,Time-dependent gate oxide breakdown ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Manufacturing cost ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,chemistry ,0103 physical sciences ,Process integration ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,business - Abstract
In high aspect ratio through silicon vias (TSV's), the trench step coverage (conformality) of liner, barrier and seed is critical for both the process integration and reliability. If the conformality of a deposition process is improved, the required thickness to be deposited on the field of the wafer can be reduced. Consequently, less material needs to be removed by CMP on the field, which reduces the manufacturing cost. In this paper, the reliability of two liner/barrier/seed options, which were successfully integrated into via-middle TSV's with a diameter of 3µm and an aspect ratio (AR) of 17 is investigated. Both controlled ramp rates (IVctrl) as well as standard Time Dependent Dielectric Breakdown (TDDB) at 100?C were employed as electrical testing methods to investigate the dielectric and barrier reliability properties of the studied systems. The first studied system consists of a non-conformal CVD O3 TEOS oxide liner, an ALD TiN barrier and a PVD Cu seed. The second studied system employs a conformal ALD oxide liner, a thermal ALD WN barrier and an ELD NiB seed. Both studied systems show excellent reliability properties. Scalable highly conformal liners are more sensitive to local field enhancement at the high fields applied during highly accelerated tests which are far above normal operation conditions. Their performance at lower fields, however, still meets standard reliability specifications. Display Omitted Reliability of two 3×50µm TSV compatible metallization schemes is investigated.TDDB data are impacted by interactions between Si scallops and liner conformality.The scalable one shows more sensitivity to local field enhancement at high field.Their performance at operation fields meets standard reliability specifications.
- Published
- 2016
- Full Text
- View/download PDF
14. A Highly Reliable 1×5μm Via-last TSV Module
- Author
-
Joeri De Vos, Eric Beyne, Nina Tutunjyan, Stefaan Van Huylenbroeck, Andy Miller, Gerald Beyer, G. Jamieson, and Yunlong Li
- Subjects
Materials science ,business.industry ,Optoelectronics ,Dry etching ,business ,Coping (joinery) - Abstract
A 1×5μm via-last TSV module is presented, coping with the reliability challenges imposed when exposing the metal landing pad during the liner opening etch at the TSV bottom. Two approaches are presented. A dedicated soft-landing liner oxide dry etch step is developed, eliminating the re-sputtering of copper on the TSV sidewalls. An embedded barrier is integrated, blocking the diffusion of any eventually re-sputtered copper of the landing pad. The obtained via-last TSV reliability is high for both approaches and comparable with via-middle TSV modules.
- Published
- 2018
- Full Text
- View/download PDF
15. 'Hole-in-One TSV', a New Via Last Concept for High Density 3D-SOC Interconnects
- Author
-
Nina Tutunjyan, Eric Beyne, Joeri De Vos, Andy Miller, Anne Jourdain, Nancy Heylen, Stefaan Van Huylenbroeck, Geraldine Jamieson, Lan Peng, and Stefano Sardo
- Subjects
Interconnection ,Materials science ,Yield (engineering) ,Silicon ,business.industry ,Wafer bonding ,chemistry.chemical_element ,High density ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,020202 computer hardware & architecture ,chemistry ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Abstract
This paper presents face-to-face wafer-to-wafer (W2W) bonding using SiCN-to-SiCN dielectric bonding, in combination a novel 1µm diameter via last connection between top and bottom wafers, called "hole-in-one TSV". This scheme reduces besides the interconnection pitch and also the number of processing steps. The hole-in-one TSV is introducing an innovating integration modification. With the introduction of a cavity etched in the top wafer prior to W2W bonding, the via last etch process is simplified. The etch time of the dielectric part of the TSV etch is heavily reduced, minimizing the over etch time on the top landing metal to open the landing wafer's metal pad. Critical integration steps like CMP processes, wafer bonding and thinning to 5µm Si thickness are highlighted, together with alignment tolerances and connectivity yield between the bonded 300mm Si wafers.
- Published
- 2018
- Full Text
- View/download PDF
16. TSV process-induced MOS reliability degradation
- Author
-
Yunlong Li, Michele Stucchi, Kristof Croes, Geert Van der Plas, Stefaan Van Huylenbroeck, Gerald Beyer, and Eric Beyne
- Subjects
010302 applied physics ,Materials science ,010405 organic chemistry ,business.industry ,Plasma ,Dielectric ,01 natural sciences ,Electric charge ,0104 chemical sciences ,law.invention ,Capacitor ,Reliability (semiconductor) ,law ,0103 physical sciences ,Optoelectronics ,Degradation (geology) ,Breakdown voltage ,Dry etching ,business - Abstract
Process-induced planar MOS capacitor reliability degradation is investigated in both via-last and via-middle through-silicon via (TSV) integration flows, with the capacitor electrically connected to the TSV. The leakage current and the breakdown voltage of the MOS capacitor are characterized to detect possible dielectric degradations caused by the electric charges generated during plasma-based processing steps: in the via last flow, during TSV dielectric liner dry etch and PVD metal barrier deposition steps; in the via-middle flow, during the backside TSV dry-etch reveal step. The effectiveness of a protection PN diode in preventing the MOS degradation is also evaluated.
- Published
- 2018
- Full Text
- View/download PDF
17. Impact of 1μ m TSV via-last integration on electrical performance of advanced FinFET devices
- Author
-
Bart De Wachter, Geert Van der Plas, Jerome Mitard, Adrian Chasin, Gaspard Hiblot, Eric Beyne, Steven De Muynck, Gerald Beyer, Ben Kaczer, Thomas Chiarella, and Stefaan Van Huylenbroeck
- Subjects
010302 applied physics ,Materials science ,010308 nuclear & particles physics ,Logic gate ,0103 physical sciences ,Mechanical impact ,PID controller ,Electrical performance ,01 natural sciences ,Engineering physics - Abstract
In this work, the impact of 1\times 5μm} Via-last integration on an advanced bulk FinFET technology is investigated. We find that mechanical impact of TSV proximity is below detection limit, however plasma-induced damage (PID) is observed on small devices (high antenna aspect ratio). Finally, a back-side anneal raising the TSV thermal budget shows no increase of mechanical impact though it partially cures PID damage on small devices.
- Published
- 2018
- Full Text
- View/download PDF
18. Development of Glyoxylic Acid Based Electroless Copper Deposition on Ruthenium
- Author
-
Stefaan Van Huylenbroeck, Silvia Armini, Marleen H. van der Veen, Shoso Shingubara, Fumihiro Inoue, Tetsu Tanaka, Harold Philipsen, and Herbert Struyf
- Subjects
chemistry.chemical_compound ,Stereochemistry ,Chemistry ,chemistry.chemical_element ,Copper deposition ,Glyoxylic acid ,Nuclear chemistry ,Ruthenium - Abstract
High aspect ratio through Si via (TSV) has received much attention as a process integration route to reduce stress build up around the TSV, leading to a small keep-out zone where device performance is impacted. High aspect ratio TSV requires alternative barrier and seed process, because physical vapor deposition (PVD) is facing difficulties yielding continuous layer in such structures. Combining the excellent conformality of ELD in high aspect ratio TSV and atomic layer deposition of barrier and liner, is seen as a potential process route [1]. Glyoxylic acid is a non-toxic reducing agent for ELD-Cu on Ru [2]. In previous work, we found that the nucleation mechanism is catalyst reaction [2]. In this paper, the different anodic reaction of formaldehyde and glyoxylic acid on Ru was compared by polarization analysis. Furthermore, the purity was evaluated after adding stabilizer in the ELD bath. The demonstration of deposition in TSV was performed using 3 × 50 µm TSV. Figure 1 shows polarization analysis of glyoxylic acid and formaldehyde on Ru and PVD-Cu as a reference. All the measurements showed anodic oxidation. It indicates that the driving force of anodic oxidation of glyoxylic acid and formaldehyde is catalysis of Ru and Cu. Although the anodic oxidation of glyoxylic acid on Ru was weaker than formaldehyde, glyoxylic acid showed similar potential on Ru (-0.63 V) and Cu surface (-0.68 V) at -0.01 mA/cm2. They were -0.63 V on Ru and -0.83 V on Cu for the case of formaldehyde. Furthermore, we found that 2,2’-bipyridyl worked as stabilizer, brightener and suppressor in the glyoxylic acid based bath. Figure 2 shows surface morphology, roughness and purity of ELD Cu using various concentrations of 2,2’- bipyridyl. The roughness and the purity of copper films improved by adding 2,2’-bipyridyl in the bath. The high purity of Cu might help to reduce void generation during the annealing process after filling. Figure 3 shows cross sectional TEM images of TSV after ELD on Ru. The ELD layer was defect free and worked as a seed layer for electrodeposition of copper. The advantage of depositing a conformal seed layer is that it also reduces the total Cu overburden, which is to be removed by CMP. Reference [1] F. Inoue, H. Philipsen, A. Radisic, S. Armini, Y.Civale, S. Shingubara, and P. Leunissen Journal of The Electrochemical Society, 159 (7) D437-D441 (2012) [2] F. Inoue, H. Philipsen, A. Radisic, S. Armini, Y. Civale, P. Leunissen, M. Kondo, E. Webb, and S. Shingubaraba, Electrochimica Acta 100 (2013) 203– 211
- Published
- 2015
- Full Text
- View/download PDF
19. Glyoxylic Acid as Reducing Agent for Electroless Copper Deposition on Cobalt Liner
- Author
-
Stefaan Van Huylenbroeck, Silvia Armini, Fumihiro Inoue, Marleen H. van der Veen, Herbert Struyf, Tetsu Tanaka, Shoso Shingubara, and Harold Philipsen
- Subjects
chemistry.chemical_compound ,Materials science ,chemistry ,Stereochemistry ,Reducing agent ,chemistry.chemical_element ,Copper deposition ,Cobalt ,Glyoxylic acid ,Nuclear chemistry - Abstract
Cobalt has recently attracted interest as interconnect liner, because it can serve as nucleation layer for Cu deposition [1,2]. Nevertheless, in order to apply it in combination with a wet Cu deposition process, the dissolution of Co has to be taken into account since less-compatible with acidic and more negative redox potential than Cu. Using an electroless deposition (ELD) of Cu chemistry with alkaline pH has the advantage of less-dissolution of Co and, therefore, a thinner Co liner can be used. This would be beneficial for small feature filling. Nevertheless, formaldehyde, which is conventional reducing agent of Cu, did not show catalytic effect on Co surface [3]. In this case, the nucleation mechanism on Co is a replacement reaction, during which some cobalt is consumed during ELD-Cu reaction that is in competition with ELD-Cu deposition reaction in the initial stage of the Cu film growth. In this paper, we investigate minimization of Co consumption by analyzing each component of glyoxylic acid based ELD Cu. Figure 1 shows polarization analysis of glyoxylic acid and formaldehyde on Co surface. In case of formaldehyde, there is no anodic oxidation. On the other hand, glyoxylic acid showed anodic oxidation on Co. It indicates that glyoxylic acid shows catalysis on Co. Figure 2 shows linear sweep voltammetry of Cu complex solutions. When higher concentration of complexing than Cu ion was added, oxidation occured without reduction of Cu. In general, enough amount of complexing agent is added in the ELD solution to avoid generation of Cu hydroxide ions. However, the complexing agent also makes Co-complex. On the other hand, when the same amount of complexing agent was added (i.e. no ‘free’ complexing agent in the bath), there was no Co dissolution, which was deduced from electrochemical measurements. Figure 3 shows the cross-sectional TEM images and TEM-EDX images of a 3 × 50 µm TSV after ELD-Cu on CVD-Co (45 nm)/ALD-TiN (12 nm). The Co thickness was 45 nm on top and 10 nm at the bottom. The ELD solution contained the identical concentrations of complexing agent and Cu ion. Glyoxylic acid was used as reducing agent. A continuous Cu seed was obtained in the TSV along the sidewall. Neither delamination nor voids were seen after ELD. Furthermore, the Co thickness in entire TSV did not changed. It is due to the optimization of complexing agent and reducing agent inside Cu bath. The filling of the TSVs was performed by electrodeposition on the ELD-Cu seed. The continuous ELD-Cu seed layer promoted a bottom-up filling of the TSV afterwards. References [1] S. Armini et al., J. Electrochem. Soc., 158 (2) (2011) H160 [2] T. Nogami, et al., Proc. of IEEE IITC (2013) 11-1 [3] I. Ohno, et al., J. Electrochem. Soc.,132 (10) 2323 (1985)
- Published
- 2015
- Full Text
- View/download PDF
20. Impact of backside process on high aspect ratio via-middle Cu through silicon via reliability
- Author
-
Joeri De Vos, Gerald Beyer, Eric Beyne, Stefaan Van Huylenbroeck, Yunlong Li, Michele Stucchi, and Kristof Croes
- Subjects
010302 applied physics ,Materials science ,Silicon ,Through-silicon via ,business.industry ,Electric breakdown ,Stacking ,Process (computing) ,chemistry.chemical_element ,Three-dimensional integrated circuit ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reliability (semiconductor) ,chemistry ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business - Abstract
For 3D chip stacking using via-middle Cu Through Silicon Via (TSV), the TSV liner/barrier reliability needs to be preserved during the whole backside process flow. In this paper, the impact of backside process on the via-middle TSV liner/barrier material reliability is investigated and a reliable liner/barrier implementation is proposed for high aspect ratio via-middle TSV integration process.
- Published
- 2017
- Full Text
- View/download PDF
21. Role of Bath Composition in Electroless Cu Seeding on Co Liner for through-Si Vias
- Author
-
Marleen H. van der Veen, Herbert Struyf, Silvia Armini, Stefaan Van Huylenbroeck, Tetsu Tanaka, Fumihiro Inoue, and Harold Philipsen
- Subjects
Materials science ,Reducing agent ,Nucleation ,chemistry.chemical_element ,Nanotechnology ,Electrochemistry ,Copper ,Electronic, Optical and Magnetic Materials ,Corrosion ,Chemical engineering ,chemistry ,Chemical-mechanical planarization ,Deposition (phase transition) ,Layer (electronics) - Abstract
To enable Cu fill of through-Si vias (TSV) with a high aspect ratio (diameter 3 μm, depth 50 μm), the electroless deposition of a Cu seed on Co liner material was investigated. The reducing agent glyoxylic acid showed anodic oxidation on Co, which did not appear for the case of formaldehyde. From electrochemical analysis, an optimized bath composition caused limited Co corrosion during the electroless Cu nucleation phase. The concentration ratio of the complexing agent (ethylenediaminetetraacetic acid) and copper was found to strongly impact the liner corrosion; in case free complexing agent is present in the bath, the Co corrosion was assisted by complexation and replacement reactions. A continuous seed layer could be deposited in the entire TSV, which enabled the filling by electrochemical deposition (ECD). The substantially thinner total copper overburden generated for this combination of a wet-chemical seed deposition and an ECD fill process contributes to a cost reduction of its chemical mechanical polishing (CMP). © The Author(s) 2014. Published by ECS. This is an open access article distributed under the terms of the Creative Commons Attribution 4.0 License (CC BY, http://creativecommons.org/licenses/by/4.0/), which permits unrestricted reuse of the work in any medium, provided the original work is properly cited. [DOI: 10.1149/2.0131501jss] All rights reserved.
- Published
- 2014
- Full Text
- View/download PDF
22. Reliability challenges for barrier/liner system in high aspect ratio through silicon vias
- Author
-
Eric Beyne, Gerald Beyer, Yunlong Li, Michele Stucchi, C. Wu, Els Van Besien, Xiaoping Shi, Ingrid De Wolf, Kristof Croes, and Stefaan Van Huylenbroeck
- Subjects
Materials science ,Silicon ,Process (computing) ,chemistry.chemical_element ,Mechanical engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability engineering ,Reliability (semiconductor) ,chemistry ,Trench ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality - Abstract
The reliability results for barrier/liner systems in different high aspect ratio (5 × 50 μm) through silicon vias (TSV) are presented. Quite a few factors can influence the TSV barrier/liner reliability performance, including the TSV trench etch process, the oxide liner material/thickness, etc. The challenges for more advanced TSV technology nodes (e.g. 3 × 40 μm) are also discussed and possible solutions are proposed.
- Published
- 2014
- Full Text
- View/download PDF
23. Nucleation Kinetics of Electroless Cu Deposition on Ruthenium Using Glyoxylic Acid as a Reducing Agent
- Author
-
Herbert Struyf, Fumihiro Inoue, Harold Philipsen, Silvia Armini, Tetsu Tanaka, Marleen H. van der Veen, and Stefaan Van Huylenbroeck
- Subjects
Nucleation kinetics ,Renewable Energy, Sustainability and the Environment ,Chemistry ,Reducing agent ,Inorganic chemistry ,chemistry.chemical_element ,Cu deposition ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ruthenium ,chemistry.chemical_compound ,Materials Chemistry ,Electrochemistry ,Glyoxylic acid - Published
- 2014
- Full Text
- View/download PDF
24. Continuity and reliability assessment of a scalable 3×50μm and 2×40μm via-middle TSV module
- Author
-
Joe Richardson, Mohand Brouri, Praveen Nalla, Joeri De Vos, Michele Stucchi, Sanjay Gopinath, Jengyi Yu, Gerald Beyer, Eric Beyne, Matthew S. Thorum, Stefaan Van Huylenbroeck, L. Bogaerts, and Yunlong Li
- Subjects
Thin layers ,Materials science ,Through-silicon via ,Silicon ,Passivation ,business.industry ,chemistry.chemical_element ,Time-dependent gate oxide breakdown ,chemistry ,Electronic engineering ,Optoelectronics ,Wafer ,business ,Electroplating ,Layer (electronics) - Abstract
An advanced TSV metallization scheme, featuring a high conformal ALD oxide liner, a thermal ALD WN barrier, an electroless NiB platable seed and a high throughput copper ECD filling is presented. Because of the high conformality of the WN barrier and NiB seed, very thin layers can be deposited, reducing the manufacturing cost significantly, while still guaranteeing continuous barrier/seed layers all along the TSV sidewall to the bottom of the TSV. 3 × 50μm via-middle wafers, processed with this metallization scheme, are further processed through the thinning module, by using temporary bonded carriers, the backside passivation module and a copper RDL module by using a semi-additive process. The TSV resistance is measured between the 5μm thick RDL copper layer at the back side and the METPASS aluminum layer at the wafer front side. Low spread and high yield is obtained on the resistance data distribution of both single kelvin and daisy chain structures. The same metallization scheme is successfully scaled to a 2μm diameter and 40μm deep via-middle module. The conformal deposition of the barrier and the seed layer enables further scaling down to aspect ratio 20:1 through silicon via's with 5μm pitch, still ensuring the void-free bottom up copper fill by electroplating. The integrity of the liner/barrier system against Cu diffusion from TSV to silicon has been verified using the established controlled I-V method. Field acceleration factors, extracted in both copper-confined and copper-driven regime, indicate good TDDB reliability of this advanced 2 × 40μm TSV middle module.
- Published
- 2016
- Full Text
- View/download PDF
25. Overlay performance of through Si via last lithography for 3D packaging
- Author
-
John Slabbekoorn, Bert Tobback, Andy Miller, Warren W. Flack, P. Czarnecki, Gareth Kenyon, Michele Stucchi, Stefaan Van Huylenbroeck, T. Vandeweyer, and Robert Hsieh
- Subjects
Engineering ,business.industry ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Overlay ,Chip ,Metrology ,Resist ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Wafer ,Stepper ,business ,Critical dimension ,Lithography - Abstract
Foundry customers and makers of leading-edge devices are evaluating through-silicon via (TSV) for next-generation three-dimensional (3D) packaging. Scaling the diameter of the TSV is a major driver for improving system performance and cost. With smaller TSV diameters, back-to-front overlay becomes a critical parameter because via landing pads on the first metal level must be large enough to include both the TSV critical dimension (CD) and overlay variations. In this paper we investigate the long term capability of a Dual Side Alignment (DSA) lithography system for printing 5 μm and smaller TSV features. DSA lithography is used to pattern the TSV feature, and Stepper Self Metrology (SSM) is performed to verify the overlay after photoresist development. Multiple stepper lithography fields per wafer and multiple wafers per lot are measured to obtain a statistically significant data set for wafer lot overlay analysis. In addition, multiple wafer lots were processed and measured to establish long term overlay performance and stability. In order to independently verify the SSM overlay data, dedicated electrical structures were designed and placed on a Via Last TSV test chip. These structures allow the TSV diameter and TSV overlay to be measured electrically after lot completion. Vector plots were used to compare the SSM overlay and electrical overlay data.
- Published
- 2016
- Full Text
- View/download PDF
26. Cost Comparison of Different TSV Implementation Options
- Author
-
Eric Beyne, Kevin Vandersmissen, Nancy Heylen, Stefaan Van Huylenbroeck, Anne Jourdain, Dimitrios Velenis, and Andy Miller
- Subjects
Cost comparison ,Computer science ,Etching (microfabrication) ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Stacking ,Hardware_PERFORMANCEANDRELIABILITY ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Throughput (business) ,Lithography ,Scaling - Abstract
Through-silicon vias (TSVs) are one of the fundamental technologies that enable vertical stacking of active dies. In this paper the integration cost of TSVs is investigated for different integration options: TSV middle and TSV last processing. Each processing step in the TSV integration flows is analyzed and the impact of processing options on cost is evaluated. Different TSV geometries are also considered that introduce challenges in the processing and require different implementation approaches. Furthermore, the TSV scaling trends are also investigated and the implementation of TSV structures with tighter pitch while controlling the integration cost is demonstrated.
- Published
- 2016
- Full Text
- View/download PDF
27. Small Pitch, High Aspect Ratio Via-Last TSV Module
- Author
-
Stefano Sardo, Nina Tutunjyan, Yunlong Li, Michele Stucchi, Stefaan Van Huylenbroeck, Eric Beyne, Filip Beirnaert, Gerald Beyer, N. Jourdan, John Slabbekoorn, and L. Bogaerts
- Subjects
010302 applied physics ,Materials science ,Passivation ,business.industry ,Oxide ,02 engineering and technology ,01 natural sciences ,Electrical contacts ,020202 computer hardware & architecture ,chemistry.chemical_compound ,Resist ,chemistry ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Optoelectronics ,Wafer ,Dry etching ,business ,Layer (electronics) - Abstract
Current TSV integration schemes include via-first, via-middle and via-last process flows. In this paper, a low thermal budget, 10im pitch and aspect ratio 10 (5im diameter, 50im depth) via-last TSV module is presented. The proposed via-last module is plugged in after the thinning module, with 50im thinned device wafers temporary bonded to a Si carrier, using Brewer Science Zonebond® material. After the deposition of a thin back side passivation layer, the TSV lithography is performed with back-to-front alignment to the front side Metal1 pattern using a Dual Side Alignment (DSA) system, available on the Ultratech AP300. The overlay performance to Metal1, verified by dedicated software utilizing the DSA alignment system, is better than 750nm, fulfilling the requirements for a 5im diameter by 50im depth TSV module with a TSV pitch of 10im. The TSV deep-Si etch lands on the STI/PMD oxide stack. In order to prevent severe notching at the silicon to oxide interface, a soft landing etch step is introduced by tuning the etch process parameters. The soft landing accommodates as well for the total silicon thickness variation of the thinned wafers. Prior to the TSV resist strip, the STI and PMD oxide is etched, stopping just above the Metal1 landing pads. Next, a high conformal PEALD oxide liner is deposited at low temperature, avoiding local deformation of the thermoplastic temporary glue material. In order to enable electrical contact from the TSV to the Metal1 landing pads, the liner oxide is selectively removed at the bottom of the TSV by means of a directional dry etch step. To avoid any liner oxide recess at the top of the TSV, the liner is protected with a non-conformal PECVD low temperature SiN layer. This SiN layer deposits at the top part of the TSV, capping the oxide liner, but doesn't deposit deeper into the TSV. As a result, the oxide liner is cleared at the TSV bottom during the oxide liner etch, at the same time preserving this oxide liner at the top of the TSV which is protected by the PECVD SiN capping layer. Prior to the PVD Ta barrier deposition, a dedicated argon soft sputter etch with high RF bias power is applied to ensure the complete removal of any tantalum oxide interfacial layer. The PVD Cu seed is deposited in-situ with the barrier. ECD copper fill and CMP of the Cu overburden and Ta barrier material finishes the via-last module. Backside RDL, using a semi-additive process, provides the electrical contact to the TSV at the thinned wafer back side. Electrical results are shown, proving the maturity of this TSV last process scheme. The connectivity of the TSV, from wafer front to back side, has been checked by means of kelvin and daisy chain structures, showing 100% yield and low spread on the measured resistance values. Low leakage current and high breakdown voltage of the TSVs is obtained, demonstrating the integrity of the oxide liner all over the TSV sidewall.
- Published
- 2016
- Full Text
- View/download PDF
28. Arsenic-doped Ge-spiked monoemitter SiGe:C heterojunction bipolar transistors by low-temperature trisilane based chemical vapor deposition
- Author
-
Ngoc Duy Nguyen, Roger Loo, Shuzhen You, Kristin De Meyer, Arturo Sibaja-Hernandez, Stefaan Van Huylenbroeck, Stefaan Decoutere, and Rafael Venegas
- Subjects
010302 applied physics ,Materials science ,Hybrid physical-chemical vapor deposition ,Trisilane ,Heterojunction bipolar transistor ,Doping ,Bipolar junction transistor ,Metals and Alloys ,Analytical chemistry ,Heterojunction ,02 engineering and technology ,Surfaces and Interfaces ,Chemical vapor deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Materials Chemistry ,Breakdown voltage ,0210 nano-technology - Abstract
In this work we optimized the Ge-spiked monoemitter for the SiGe:C heterojunction bipolar transistor by using low-temperature trisilane based chemical vapor deposition to meet the requirements of high growth rate and high electrically-active doping levels of arsenic. The resultant devices show improvement of open-base breakdown voltage and no degradation of cutoff frequency with incorporation of a Ge spike in the monoemitter.
- Published
- 2012
- Full Text
- View/download PDF
29. Analysis of copper plasticity impact in TSV-middle and backside TSV-last fabrication processes
- Author
-
Geert Van der Plas, Eric Beyne, Mario Gonzalez, Karim El Sayed, Wei Guo, Philippe Absil, Xiaopeng Xu, Stefaan Van Huylenbroeck, and Aditya P. Karmarkar
- Subjects
Fabrication ,Reliability (semiconductor) ,Materials science ,Residual stress ,Electronic engineering ,Model parameters ,Plasticity ,Engineering physics ,Lower temperature - Abstract
Copper plasticity effects in TSV middle and backside TSV last integration flows are analyzed using an advanced 3D TCAD simulator with model parameters calibrated to match experimental data. In this work, a low thermal budget TSV last integration flow is considered. In contrast to the TSV middle flow, the TSV last flow studied here exhibits insignificant TSV pumping, M1 metal thinning or M1 metal resistance increase. The difference in residual stress profiles in BEOL structure for TSV middle and TSV last processes indicates that the process sequence must be optimized in order to minimize the reliability risks. The mobility change in active silicon for the TSV last process is lower as compared to that for the TSV middle process at room temperature due to the lower temperature excursions during the TSV last integration. This study demonstrates that the TSV integration flow must be designed and selected carefully to meet specific performance and reliability requirements.
- Published
- 2015
- Full Text
- View/download PDF
30. Advanced metallization scheme for 3×50µm via middle TSV and beyond
- Author
-
Yunlong Li, Praveen Nalla, Sanjay Gopinath, Jengyi Yu, Stefaan Van Huylenbroeck, Mohand Brouri, Eric Beyne, Kristof Croes, Daniela M. Anjos, Prashant Meshram, Gerald Beyer, Nancy Heylen, and Matthew S. Thorum
- Subjects
chemistry.chemical_compound ,Materials science ,chemistry ,business.industry ,Metallurgy ,Oxide ,Optoelectronics ,business - Abstract
An advanced Via-Middle TSV metallization scheme is presented, featuring a high conformal ALD oxide liner, a thermal ALD WN barrier, an electroless NiB platable seed and a high throughput copper ECD filling process. Because of the high conformality of the WN barrier and NiB seed, these layers can be deposited very thinly, reducing the cost significantly, while still guaranteeing continuous barrier/seed layers all along the TSV sidewall till the bottom of the TSV.
- Published
- 2015
- Full Text
- View/download PDF
31. Reliability study of liner/barrier/seed options for via-middle TSV's with 3 micron diameter and below
- Author
-
Daniela M. Anjos, Eric Beyne, Jengyi Yu, Sanjay Gopinath, Philippe Roussel, Gerald Beyer, Yunlong Li, Mohand Brouri, Matthew S. Thorum, Stefaan Van Huylenbroeck, and Kristof Croes
- Subjects
Stress (mechanics) ,Reliability (semiconductor) ,Materials science ,Through-silicon via ,Dielectric strength ,business.industry ,Electronic engineering ,Optoelectronics ,Wafer ,Time-dependent gate oxide breakdown ,Dielectric ,business ,Manufacturing cost - Abstract
In high aspect ratio TSV's, the step coverage (conformality) of liner, barrier and seed is critical for both the integration and reliability. If the conformality of a deposition technique is improved, the required thickness to be deposited on the field of the wafer can be reduced. Consequently, less material needs to be removed by CMP on the field, which reduces the manufacturing cost. In this paper, the reliability of two liner/barrier/seed options, which were successfully integrated into via-middle TSV's with a diameter of 3 micron and an aspect ratio (AR) of 17 is investigated. Both controlled ramp rates (IVctri) as well as standard Time Dependent Dielectric Breakdown (TDDB) at 100°C were employed as electrical testing methods to investigate the dielectric and barrier reliability properties of the studied systems. The first studied system consists of a non-conformal CVD O3 TEOS liner, an ALD TiN barrier and a PVD Cu seed. The second studied system employs a conformal ALD liner, a thermal ALD WN barrier and an ELD NiB seed. Both studied systems show excellent reliability properties. Scalable highly conformal liners are more sensitive to local field enhancement at the high fields applied during highly accelerated tests which are far above normal operation conditions. Their performance at lower fields, however, still meets standard reliability specifications.
- Published
- 2015
- Full Text
- View/download PDF
32. Interface charge trapping induced flatband voltage shift during plasma-enhanced atomic layer deposition in through silicon via
- Author
-
Gerald Beyer, Samuel Suhard, Kristof Croes, Johan Meersschaut, Els Van Besien, Stefaan Van Huylenbroeck, Yunlong Li, Michele Stucchi, and Eric Beyne
- Subjects
010302 applied physics ,Materials science ,Silicon ,Through-silicon via ,business.industry ,Annealing (metallurgy) ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,Chemical vapor deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Elastic recoil detection ,Capacitor ,Atomic layer deposition ,chemistry ,law ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business - Abstract
A Through Silicon Via (TSV) is a key component for 3D integrated circuit stacking technology, and the diameter of a TSV keeps scaling down to reduce the footprint in silicon. The TSV aspect ratio, defined as the TSV depth/diameter, tends to increase consequently. Starting from the aspect ratio of 10, to improve the TSV sidewall coverage and reduce the process thermal budget, the TSV dielectric liner deposition process has evolved from sub-atmospheric chemical vapour deposition to plasma-enhanced atomic layer deposition (PE-ALD). However, with this change, a strong negative shift in the flatband voltage is observed in the capacitance-voltage characteristic of the vertical metal-oxide-semiconductor (MOS) parasitic capacitor formed between the TSV copper metal and the p-Si substrate. And, no shift is present in planar MOS capacitors manufactured with the same PE-ALD oxide. By comparing the integration process of these two MOS capacitor structures, and by using Elastic Recoil Detection to study the elemental composition of our films, it is found that the origin of the negative flatband voltage shift is the positive charge trapping at the Si/SiO2 interface, due to the positive PE-ALD reactants confined to the narrow cavity of high aspect ratio TSVs. This interface charge trapping effect can be effectively mitigated by high temperature annealing. However, this is limited in the real process due to the high thermal budget. Further investigation on liner oxide process optimization is needed.
- Published
- 2017
- Full Text
- View/download PDF
33. Room temperature and zero pressure high quality oxide direct bonding for 3D self-aligned assembly
- Author
-
J. P. Celis, Eric Beyne, Nina Tutunjyan, John Slabbekoorn, Andy Miller, Ingrid De Wolf, Kristof Croes, Vikas Dubey, Kenneth June Rebibis, and Stefaan Van Huylenbroeck
- Subjects
Wire bonding ,Interconnection ,Materials science ,business.industry ,Oxide ,Stacking ,Three-dimensional integrated circuit ,Nanotechnology ,Direct bonding ,chemistry.chemical_compound ,Quality (physics) ,chemistry ,Anodic bonding ,Optoelectronics ,business - Abstract
To keep up with the pace of decreasing transistor channel length, the demand for smaller pitch size is pushing 3D IC research into new approaches for stacking. As the pitch size decreases, the thickness of interconnection also decreases. During stacking, a small misalignment may lead to poor interconnection or even connection failure. This has led 3D IC research to pursue higher alignment accuracy during stacking. One such stacking approach that is being considered is 3D self-alignment. To facilitate highly accurate alignment it is also important to have good quality immediate bonding that can further reduce chances of misalignment during handling. In this work, we demonstrate a very high quality bonding between two similar dies with oxide capping layer, as well as different cleaning approach and the bonding test.
- Published
- 2014
- Full Text
- View/download PDF
34. Cu seeding using electroless deposition on Ru liner for high aspect ratio through-Si vias
- Author
-
Harold Philipsen, Kevin Vandersmissen, Fumihiro Inoue, Stefaan Van Huylenbroeck, Marleen H. van der Veen, Tetsu Tanaka, and Herbert Struyf
- Subjects
Materials science ,Metallurgy ,Life time ,Electroless deposition ,Seeding - Abstract
High aspect ratio through-Si vias (AR=16.7) filling has been achieved by using non-PVD seed metallization approach. We demonstrate the formation of conformal and thin electroless deposited Cu seed on Ru liner. The optimized ELD Cu process was conducted at room temperature on activated Ru surface, which can improve the ELD bath life time. The deposited Cu with 2,2′ bipyridyl shows smoother and higher purity inside the Cu film.
- Published
- 2014
- Full Text
- View/download PDF
35. Cost components for 3D system integration
- Author
-
Andy Miller, Kenneth June Rebibis, John Slabbekoorn, Anne Jourdain, Teng Wang, Mikael Detalle, Erik Jan Marinissen, Dimitrios Velenis, Eric Beyne, Gerald Beyer, Alain Phommahaxay, and Stefaan Van Huylenbroeck
- Subjects
Engineering ,Relation (database) ,CMOS ,business.industry ,Process (engineering) ,Processing cost ,Electronic engineering ,Stacking ,Interposer ,Parameterized complexity ,System integration ,business ,Reliability engineering - Abstract
The cost of 3D process flows is one of the most important aspects for the broader adoption of 3D integration by the semiconductor industry. In this paper the processing cost of the features and components that enable 3D stacking is considered and compared. Different stacking approaches are considered: D2W, W2W and interposer-based stacking. Furthermore, the impact of processing yield and pre-stack testing is evaluated when considering the system integration cost for each one of the 3D stacking methods. In addition the size of the stacked active dies is parameterized and the effect on the system integration cost is explored. Also, the impact of pre-stack testing on interposer in relation to processing yield and the size of the stacked active dies is investigated.
- Published
- 2014
- Full Text
- View/download PDF
36. Impact of Cu TSVs on BEOL metal and dielectric reliability
- Author
-
Ingrid De Wolf, Joke De Messemaeker, Dimitrios Velenis, Yunlong Li, Nabi Nabiollahi, Anne Jourdain, Kris Vanstreels, Hugo Bender, Gerald Beyer, Michele Stucchi, Eric Beyne, Mario Gonzalez, Marianna Pantouvaki, C. Wu, Myriam Van De Peer, Stefaan Van Huylenbroeck, and Kristof Croes
- Subjects
Interconnection ,Reliability (semiconductor) ,Materials science ,Dielectric reliability ,Silicon ,chemistry ,business.industry ,Electronic engineering ,Copper interconnect ,Optoelectronics ,chemistry.chemical_element ,Stress induced voiding ,business - Abstract
Cu pumping of through silicon vias (TSV) may result in deformations of the Cu/low-k interconnect wiring above the TSVs and affect the back-end-of-line (BEOL) metal and dielectric reliability. We investigate the impact of Cu TSVs on the BEOL reliability, including stress induced voiding (SIV) of Cu vias on top of the TSV and the dielectric reliability of both inter- and intralevel low-k materials in Cu damascene interconnects. Possible solutions to mitigate the reliability risks are also discussed.
- Published
- 2014
- Full Text
- View/download PDF
37. Via-middle through-silicon via with integrated airgap to zero TSV-induced stress impact on device performance
- Author
-
Antonio La Manna, Augusto Redolfi, Khashayar Babaei Gavan, Patrick Jaenen, Wei Guo, Gerald Beyer, Bart Swinnen, Stefaan Van Huylenbroeck, Eric Beyne, and Yann Civale
- Subjects
Stress (mechanics) ,Induced stress ,Materials science ,Through-silicon via ,CMOS ,Etching (microfabrication) ,Chemical-mechanical planarization ,Electronic engineering ,Electroplating ,Block (data storage) - Abstract
In the study, we report for the first time a novel concept for the mitigation of the TSV-induced stress on the CMOS device performance. This solution consists in selectively integrating an airgap at the time of via-middle TSV processing. In addition to the expected benefits in term of stress management, this new approach is also cost effective, as the TSV processing steps, such as deep silicon etching, Cu electroplating, and chemical mechanical polishing remain unchanged. The processing development and the results of the morphological and electrical characterization are given in details in this study. All in all, TSV with integrated airgap is a very versatile building block for TSV integration in presence of stress sensitive next generation of CMOS devices.
- Published
- 2013
- Full Text
- View/download PDF
38. Half-terahertz silicon/germanium heterojunction bipolar technologies: A TCAD based device architecture exploration
- Author
-
Stefaan Decoutere, Shuzhen You, Rafael Venegas, A. Sibaja-Hernandez, Stefaan Van Huylenbroeck, and Kristin De Meyer
- Subjects
Materials science ,Terahertz radiation ,Heterojunction bipolar transistor ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,chemistry.chemical_compound ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Materials Chemistry ,Electrical and Electronic Engineering ,Architecture ,010302 applied physics ,business.industry ,Oscillation ,020208 electrical & electronic engineering ,Heterojunction ,Condensed Matter Physics ,Cutoff frequency ,Electronic, Optical and Magnetic Materials ,Silicon-germanium ,chemistry ,Optoelectronics ,business ,Technology CAD - Abstract
A 2D TCAD based device architecture exploration of SiGe:C NPN HBTs is presented. Two novel and one conventional self-aligned architectures are explored by process and device simulation. All these three architectures show their capability of achieving maximum oscillation frequency ( f max ) of 500 GHz for scaled layout rules.
- Published
- 2011
- Full Text
- View/download PDF
39. Impact of isolation scheme on thermal resistance and collector-substrate capacitance of SiGe HBTs
- Author
-
Stefaan Van Huylenbroeck, Rafael Venegas, A. Sibaja-Hernandez, Kristin De Meyer, Shuzhen You, and Stefaan Decoutere
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Thermal resistance ,Electrical engineering ,chemistry.chemical_element ,Silicon on insulator ,020206 networking & telecommunications ,02 engineering and technology ,Substrate (electronics) ,01 natural sciences ,Capacitance ,Temperature measurement ,Pedestal ,chemistry ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Isolation (database systems) ,business - Abstract
Various isolation schemes consisting of junction isolation, silicon pedestal isolation, deep trench isolation (DTI), airgap deep trench isolation and SOI with DTI are compared in terms of thermal resistance (R TH ) and collector-substrate capacitance (C CS ). Although to some extent R TH and C CS can be traded, airgap DTI and especially pedestal isolation perform very well, because the former results in strong reduction of C CS , while the latter results in strong reduction of R TH .
- Published
- 2011
- Full Text
- View/download PDF
40. Recombination in the Ge-spiked monoemitter of the SiGe:C HBTs
- Author
-
Stefaan Decoutere, Shuzhen You, Rafael Venegas, Stefaan Van Huylenbroeck, Kristin De Meyer, and A. Sibaja-Hernandez
- Subjects
Materials science ,Band gap ,Heterojunction bipolar transistor ,Binary alloy ,Recombination rate ,chemistry.chemical_element ,Germanium ,01 natural sciences ,0502 economics and business ,0103 physical sciences ,Materials Chemistry ,Breakdown voltage ,050207 economics ,Electrical and Electronic Engineering ,010302 applied physics ,business.industry ,fungi ,05 social sciences ,Electrical engineering ,Carrier lifetime ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,business ,Recombination - Abstract
A SiGe spike in the monoemitter of a SiGe:C HBT locally increases the recombination rate. The narrower energy bandgap of SiGe compared to Si increases the minority charge storage, resulting in higher recombination rate. The SiGe spike acts as a virtual contact and increases the base current. This results in lower current gain, and hence higher BV CEO . This paper studies the physical mechanism for this higher recombination rate in the SiGe spike, and it calculates the minority carrier lifetime in the SiGe spike.
- Published
- 2011
- Full Text
- View/download PDF
41. TCAD based device architecture exploration towards half-terahertz silicon/germanium heterojunction bipolar technology
- Author
-
Rafael Venegas, Stefaan Van Huylenbroeck, Kristin De Meyer, A. Sibaja-Hernandez, Stefaan Decoutere, and Shuzhen You
- Subjects
Materials science ,business.industry ,Oscillation ,Terahertz radiation ,Heterojunction ,Hardware_PERFORMANCEANDRELIABILITY ,Capacitance ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Radio frequency ,Process simulation ,business ,Technology CAD - Abstract
A 2D TCAD based device architecture exploration of SiGe:C NPN HBTs is presented. Two novel and one conventional self-aligned architecture are explored by process and device simulation. All these three architectures show their capability of achieving maximum oscillation frequency (f max ) of 500 GHz for scaled layout rules.
- Published
- 2010
- Full Text
- View/download PDF
42. Impact of Lateral Scaling on Low Frequency Noise of 200 GHz SiGe:C HBTs
- Author
-
Rafael Venegas, Stefaan Decoutere, Andreas Piontek, Nordin Ouassif, and Stefaan Van Huylenbroeck
- Subjects
Materials science ,business.industry ,Heterojunction bipolar transistor ,Infrasound ,Transistor ,Bipolar junction transistor ,Enclosure ,Electrical engineering ,law.invention ,law ,Optoelectronics ,Process window ,business ,Scaling ,Common emitter - Abstract
We investigate the effect of the lateral scaling of an existing 200GHz single poly SiGe:C HBT quasi self aligned (QSA) BiCMOS technology upon low frequency noise (LFN). The purpose of this lateral scaling is to improve FMAX and to displace the peak fT and FMAX to lower current per unit length and our process and device simulations predict significant effects on these two quantities. Lateral scaling affects the perimeter design of the device, a region having a strong influence on the LFN behavior of the transistor. We study the impact on LFN of reducing three lateral design parameters, namely the emitter window, the width of the enclosure of the emitter window surrounded by polyemitter and the width of the enclosure of the polyemitter surrounded by the active area. Our results show that the process window, predicted by simulation and tested by DC lateral scaling characteristics, still remains available, maintaining the required HF and LFN good qualities with the scaled architecture and process.
- Published
- 2005
- Full Text
- View/download PDF
43. 2D-TCAD Process Calibration for a High Speed QSA SiGe:C HBT Verified with SSRM
- Author
-
Pierre Eyben, Danielle Vanhaeren, Stefaan Van Huylenbroeck, Stefaan Decoutere, Herman Maes, Wilfried Vandervorst, and Arturo Sibaja-Hernandez
- Subjects
Materials science ,Silicon ,business.industry ,Electrical junction ,Heterojunction bipolar transistor ,Transistor ,chemistry.chemical_element ,Nitride ,law.invention ,chemistry ,law ,Calibration ,Optoelectronics ,Undercut ,business ,Common emitter - Abstract
In this work, we present the use of SSRM, an electrical characterization technique based on atomic resistance microscopy (AFM), as a verification tool for the accurate calibration of two dimensional (2D) process simulations on advanced high speed bipolar HBT devices with fT/fmax values exceeding 200GHz. The 2D HBT process simulator has been calibrated based on SIMS measurements and TEM pictures. The process simulations consider coupled diffusion of carbon and silicon point defects under several RTA conditions [1]. Kick-Out and Frank-Turnbull mechanisms model the carbon diffusion. Extended short-loop experiments under equilibrium and non-equilibrium conditions increased the accuracy of the simulations [2]. Lateral and vertical scaling of the bipolar device dimensions is an absolute requirement for obtaining current and power cutoff frequencies (fT, fmax) above 200GHz [3]. The state-of-the-art QSA HBT investigated in this work for instance has an enclosure of active area over the poly emitter of only 0.03μm. As the external base implantation, needed to obtain low base resistance, is done after the poly emitter patterning, a precise determination of the base collector junction becomes difficult but at the same time important, taking into account the interaction with the selectively implanted collector (SIC) and the importance of the base-collector junction location for the DC device characteristics. Simulations using the calibrated 2D-TCAD platform show the different shapes of the external base-collector metallurgical junction as a function of the poly emitter sidewall angle (fig 1). The vertical depth of the basecollector metallurgical junction along the STI drops from 90nm to 75nm and even 45nm for a poly emitter sidewall angle of respectively 90°, 80° and 73°. From crosssectional pictures we know that the poly emitter sidewall angle is around 80°, but so far we were unable to verify the exact position of the base-collector metallurgical junction on real silicon. A key advantage of the SSRM technique however is its capability to determine the precise location of electrical transistor junctions. The improvements in sample preparation and the introduction of full diamond probes have enabled a drastic increase of the spatial resolution down to 1-3nm [4,5], even for HBT transistors where many materials with different hardness are present (silicon, polysilicon, oxide, nitride, silicide, SiGe). Figures 2 and 3 show such SSRM plots for the QSA 0.13μm SiGe:C HBT. A comparison is shown with a 2DTCAD plot and with a TEM picture respectively. Geometrical distances and the base-collector junction can be clearly distinguished on the SSRM plots. A quantification of the key geometrical and electrical distances extracted from the SSRM data are summarized in table 1. They are compared with the TCAD values. SSRM quantifies correctly known geometrical values like for instance the nitride thickness of the emitter window and the oxide undercut under this nitride. The measured lateral and vertical electrical junction depths from SSRM correspond well with the values extracted from the calibrated 2D-TCAD platform. We conclude therefore that the 2D-TCAD process simulator is correctly calibrated. This TCAD platform will be used for future process optimization of advanced high speed HBT devices.
- Published
- 2006
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.