Search

Showing total 3,458 results

Search Constraints

Start Over You searched for: Topic plasmas Remove constraint Topic: plasmas Publication Type Academic Journals Remove constraint Publication Type: Academic Journals Publisher ieee Remove constraint Publisher: ieee
3,458 results

Search Results

1. Enhanced DC Insulation Strength of PMIA Paper by CF 4 /Ar Plasma Treatment.

2. Behavior of Historical Printing Inks on Paper in High-Frequency Cold Plasma Discharges.

3. A Flexible Paper-Based Microdischarge Array Device: A Novel Route to Cost-Effective and Simple Setup Microplasma Generation Devices.

4. A Foldable Microplasma-Generation Device on a Paper Substrate.

5. A Flexible Paper-Based Microdischarge Array Device for Maskless Patterning on Nonflat Surfaces.

6. Virtual Metrology of Critical Dimensions in Plasma Etch Processes Using Entire Optical Emission Spectrum.

7. Special Issue on Selected Papers of the 16th Latin American Workshop on Plasma Physics (LAWPP 2017).

8. Guest Editorial Special Issue on Plenary and Invited Papers From ICOPS-BEAMS 2015.

9. Plasma Pretreatment System for the Reduction of By-Product Particles in Semiconductor Manufacturing.

10. Part-Level Fault Classification of Mass Flow Controller Drift in Plasma Deposition Equipment.

12. Enhancement and Mechanism of NH 3 Plasma Treatment on Interfacial Combination of PMTA and Cellulose Insulation Matrix.

13. HF Plasma Discharge for Surface Modification of Cellulose-Based Materials.

14. Guest Editorial Special Issue on Plenary and Invited Papers From PPPS 2013.

15. Mathematical Simulation of Processes in ICP/RF Plasma Torch for Plasma Chemical Reactions.

16. Hybrid Analog and Digital Control of a High Current Converter Based on an EDLC Bank for Rapidly Decreasing Input Voltage.

17. Simple Device to Study Influence of Nanosecond Surface Microdischarge Plasma on Biomaterials.

18. Guest Editorial: Special Issue on Plenary and Invited Papers from ICOPS-BEAMS 2014.

19. Effective Variational-Autoencoder-Based Generative Models for Highly Imbalanced Fault Detection Data in Semiconductor Manufacturing.

20. Plasma Charge Accumulative Model in Quantitative FinFET Plasma Damage.

21. Study of a Transportation Process of Dust Particles in the Plasma of Radio Frequency Discharge.

22. Numerical Simulations of Dust Dynamics Around Small Asteroids.

23. Study on the EM Loads Distribution on Updated HCCB Blanket Inboard and Outboard Blanket Vertical Segments.

24. Down-Sizing of Iron Powders via Evaporation in an Atmospheric Microwave Plasma Flame.

25. Design of Real-Time Control in Poloidal Field Power Supply Based on Finite-State Machine.

26. Tunability Study of Plasma Frequency Selective Surface Based on FDTD.

27. Numerical Studies of Coulomb Collisions, Relaxation, and Debye Shielding by N-Body Simulation.

28. Effects of Non-Maxwellian Electron Distribution Function to the Propagation Coefficients of Electromagnetic Waves in Plasma.

29. Modeling and Simulation of the Effect of Cathode Gas Flow on the Lifetime and Performance of an Annular-Geometry Ion Engine.

30. X-Ray Line Polarization of Ne-Like Mo Spectra from X-pinch Plasmas.

31. High-Quality Implosion of Overmassed $Z$ -Pinch in the Experiment With Magnetocumulative Generator.

32. Neutronics Analysis of the In-Vessel Components of the ITER Plasma-Position Reflectometry System on the High-Field Side.

33. Changing Germination Rate of Brown Mustard Seeds After Treatment With Plasmas of Nanosecond Electric Discharges.

34. Study on the Effective Ionization Rate of Atmospheric Corona Discharge Plasmas by Considering Humidity.

36. A Pedagogical Study of Aerodynamic Feedback Control by Dielectric Barrier Discharge Plasma.

37. Equipment Condition Monitoring of Multiple Oxide-Nitride Stack Layer Deposition Process.

38. Probing Molecular Structures of Buried Interfaces in Thick Multilayered Microelectronic Packages.

39. Shutdown Dose Rate Calculation for the Preliminary Concept of K-DEMO Equatorial Port Area.

40. Plasma Polarization and Wake Formation Behind a Dust Particle in an External Electric Field.

41. Wide Range Fabrication of Wrinkle Patterns for Maximizing Surface Charge Density of a Triboelectric Nanogenerator.

42. Numerical Stability Improvements for the Pseudospectral EM PIC Algorithm.

43. Optimization of the Integrated Diagnostics in Equatorial Port Plug #3 of ITER for Minimal Interspace Dose Rate.

44. Detecting and Preventing Gate Oxide Plasma Damage During PECVD Carbon Deposition Through Surface Photovoltage Measurements.

45. Numerical Investigation of the Surface Wave Formation in a Microwave Plasma Torch.

46. Bond-Pad Charging Protection Design for Charging-Free Reference Transistor Test Structures.

47. Diagnostic of Neutralization Current for Arcs on Satellite Solar Panel Coupons.

48. Backward and Sideward Waves of Space Charge in Neutralized Electron Flux.

49. Can the “Maximum Power Principle” Be Applied to Pulsed Dielectric Barrier Discharge?

50. Determination of Swarm Front Plate’s Effective Cross Section From Kinetic Simulations.