Search

Showing total 3,474 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic computational modeling Remove constraint Topic: computational modeling Publication Year Range Last 10 years Remove constraint Publication Year Range: Last 10 years Publication Type Electronic Resources Remove constraint Publication Type: Electronic Resources Publisher ieee Remove constraint Publisher: ieee
3,474 results

Search Results

1. Hierarchical Spatial Sum–Product Networks for Action Recognition in Still Images.

2. A Lightweight Chip-Scale Chemical Mechanical Polishing Model Based on Polynomial Network.

3. Dual-Stream Complex-Valued Convolutional Network for Authentic Dehazed Image Quality Assessment.

4. Efficient Crowd Counting via Dual Knowledge Distillation.

5. Data-Driven Production Planning Models for Wafer Fabs: An Exploratory Study.

6. Modeling and Signal Integrity Analysis of RRAM-Based Neuromorphic Chip Crossbar Array Using Partial Equivalent Element Circuit (PEEC) Method.

7. Pharmacological, Non-Pharmacological Policies and Mutation: An Artificial Intelligence Based Multi-Dimensional Policy Making Algorithm for Controlling the Casualties of the Pandemic Diseases.

8. Pharmacological, Non-Pharmacological Policies and Mutation: An Artificial Intelligence Based Multi-Dimensional Policy Making Algorithm for Controlling the Casualties of the Pandemic Diseases.

9. A Survey on Multi-Task Learning.

10. Computer-Aided Systematic Topology Derivation of Single-Inductor Multi-Input Multi-Output Converters From Working Principle.

11. Simplified Model for Heat Transport for Cables in Pipes.

12. Maximally Permissive Deadlock and Livelock Avoidance for Automated Manufacturing Systems via Critical Distance.

13. Distributed Estimation Approach for Tracking a Mobile Target via Formation of UAVs.

14. Hybrid Mock Circulatory Loop Simulation of Extreme Cardiac Events.

15. Dual Encoding for Video Retrieval by Text.

16. On Non-Detectability of Non-Computability and the Degree of Non-Computability of Solutions of Circuit and Wave Equations on Digital Computers.

17. Real-Time Optimization-Based Reference Calculation Integrated Control for MMCs Considering Converter Limitations.

18. Modular Multilevel Converter Impedance Computation Based on Periodic Small-Signal Analysis and Vector Fitting.

19. HD-CIM: Hybrid-Device Computing-In-Memory Structure Based on MRAM and SRAM to Reduce Weight Loading Energy of Neural Networks.

20. A Resource Recommendation Model for Heterogeneous Workloads in Fog-Based Smart Factory Environment.

21. A Graph Guided Convolutional Neural Network for Surface Defect Recognition.

22. VR-PRUNE: Decidable Variable-Rate Dataflow for Signal Processing Systems.

23. T-PAIR: Temporal Node-Pair Embedding for Automatic Biomedical Hypothesis Generation.

24. Dynamic Valuation of Battery Lifetime.

25. A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router.

26. SWPU: A 126.04 TFLOPS/W Edge-Device Sparse DNN Training Processor With Dynamic Sub-Structured Weight Pruning.

27. PL-NPU: An Energy-Efficient Edge-Device DNN Training Processor With Posit-Based Logarithm-Domain Computing.

28. CHAMP: Channel Merging Process for Cost-Efficient Highly-Pruned CNN Acceleration.

29. A New Power Flow Model With a Single Nonconvex Quadratic Constraint: The LMI Approach.

30. Adaptive Feature Fusion and Spatio-Temporal Background Modeling in KDE Framework for Object Detection and Shadow Removal.

31. Analysis of Electrodynamic Transients in the ITER PF Joints.

32. Structural and Statistical Analysis of Multidimensional Linear Approximations of Random Functions and Permutations.

33. Double-End Excitation of a Single Isolated Transformer Winding: An Improved Frequency Response Analysis for Fault Detection.

34. Learning Disentangled Representation for Multi-View 3D Object Recognition.

35. Sequential Residual Learning for Multistep Processes in Semiconductor Manufacturing.

36. A Compact Memristor Model for Neuromorphic ReRAM Devices in Flux-Charge Space.

37. Centralized System Identification of Multi-Rail Power Converter Systems Using an Iterative Decimation Approach.

38. Quality Driven Systematic Approximation for Binary-Weight Neural Network Deployment.

39. ReMCA: A Reconfigurable Multi-Core Architecture for Full RNS Variant of BFV Homomorphic Evaluation.

40. FPGA-NHAP: A General FPGA-Based Neuromorphic Hardware Acceleration Platform With High Speed and Low Power.

41. Interpretable Memristive LSTM Network Design for Probabilistic Residential Load Forecasting.

42. A Low Complexity Moving Average Nested GMP Model for Digital Predistortion of Broadband Power Amplifiers.

43. BitS-Net: Bit-Sparse Deep Neural Network for Energy-Efficient RRAM-Based Compute-In-Memory.

44. Analytical Models of the Performance of IEEE 802.11p Vehicle to Vehicle Communications.

45. Exact Recovery and Sharp Thresholds of Stochastic Ising Block Model.

46. Lightning Surge Analysis of HV Transmission Line: Bias AC-Voltage Effect on Multiphase Back-Flashover.

47. Mixed-Integer Convex Optimization for DC Microgrid Droop Control.

48. Balanced Neural Architecture Search and Its Application in Specific Emitter Identification.

49. On the Tradeoff Between Computation and Communication Costs for Distributed Linearly Separable Computation.

50. Tensor Cascaded-Rank Minimization in Subspace: A Unified Regime for Hyperspectral Image Low-Level Vision.