Search

Showing total 1,714 results

Search Constraints

Start Over You searched for: Topic delays Remove constraint Topic: delays Publication Year Range Last 3 years Remove constraint Publication Year Range: Last 3 years Publication Type Periodicals Remove constraint Publication Type: Periodicals
1,714 results

Search Results

1. Identification of Arcing Faults in Paper and Oil in Transformers—Part I: Using the Duval Pentagons.

3. Graph theory‐based synchronization for stochastic uncertain complex dynamical networks via inverse optimal adaptive control.

4. Novel Structure-Exploiting Techniques Based Delay-Dependent Stability Analysis of Multi-Area LFC With Improved Numerical Tractability.

5. Analysis of Causes of Delays and Cost Overruns as Well as Mitigation Measures to Improve Profitability and Sustainability in Turnkey Industrial Projects.

6. CPiX: Real-Time Analytics Over Out-of-Order Data Streams by Incremental Sliding-Window Aggregation.

7. Graphical Delay Tracking for Linear and Repetitive Schedules.

8. Effective nonlinear Predictive and CTC-PID Control of Rigid Manipulators.

9. TAPv2: An Approach Towards Sub-Microsecond Level Timing Accuracy Over Air Interface.

10. Dynamic Beam Pattern and Bandwidth Allocation Based on Multi-Agent Deep Reinforcement Learning for Beam Hopping Satellite Systems.

12. Low-Complexity Switch Scheduling Algorithms: Delay Optimality in Heavy Traffic.

13. Martingale Theory Application to the Delay Analysis of a Multi-Hop Aloha NOMA Scheme in Edge Computing Systems.

14. Stability Analysis and Impedance Reshaping Method for DC Resonance in VSCs-based Power System.

15. On Population Models with Delays and Dependence on Past Values.

16. Automatic Foreground Detection at 784 FPS for Ultra-High-Speed Human–Machine Interactions.

17. Distributed Optimal Control of DC Microgrid Considering Balance of Charge State.

18. Quantitative Performance Comparison of Various Traffic Shapers in Time-Sensitive Networking.

19. Literature Review Toward Decentralized Railway Traffic Management.

20. Non-Stationary Vehicular Channel Characterization in Complicated Scenarios.

23. Infrastructure-Assisted on-Driving Experience Sharing for Millimeter-Wave Connected Vehicles.

24. Aging and Delay Analysis Based on Lyapunov Optimization and Martingale Theory.

25. Fast-Tracking Optical Coherent Receiver Tolerating Transmitter Component Distortion.

26. A Deep Learning Approach for Flight Delay Prediction Through Time-Evolving Graphs.

27. Cross Z-Complementary Sets for Training Design in Spatial Modulation.

28. Anticipative and Predictive Control of Automated Vehicles in Communication-Constrained Connected Mixed Traffic.

29. Space-Air-Ground Integrated Network Resource Allocation Based on Service Function Chain.

30. Stability-Oriented Minimum Switching/Sampling Frequency for Cyber-Physical Systems: Grid-Connected Inverters Under Weak Grid.

31. Barriers and delays in access to abortion care: a cross-sectional study of people traveling to obtain care in England and the Netherlands from European countries where abortion is legal on broad grounds.

32. The Effects of Delay on the HKB Model of Human Motor Coordination.

33. Effect of Impulses on Robust Exponential Stability of Delayed Quaternion-Valued Neural Networks.

34. New criteria on global Mittag-Leffler synchronization for Caputo-type delayed Cohen-Grossberg Inertial Neural Networks.

35. Novel non-fragile extended dissipative synchronization of T-S fuzzy complex dynamical networks with interval hybrid coupling delays.

36. A 1.5-GS/s 6-bit Single-Channel Loop-Unrolled SAR ADC With Speculative CDAC Switching Control Technique in 28-nm CMOS.

37. A Queueing Game Based Management Framework for Fog Computing With Strategic Computing Speed Control.

38. Harmonious Lane Changing via Deep Reinforcement Learning.

39. A Novel ASIC Design Flow Using Weight-Tunable Binary Neurons as Standard Cells.

40. Low-Latency Low-Complexity Method and Architecture for Computing Arbitrary Nth Root of Complex Numbers.

41. Integrating Signals With High Sampling Rates for Transmission Line Protection in Digital Substations.

42. Platform Profit Maximization on Service Provisioning in Mobile Edge Computing.

43. NEW WELL-POSEDNESS RESULTS FOR STOCHASTIC DELAY RAYLEIGH-STOKES EQUATIONS.

44. Computation Offloading in Heterogeneous Vehicular Edge Networks: On-Line and Off-Policy Bandit Solutions.

45. Power Coupling for Transient Stability and Electromagnetic Transient Collaborative Simulation of Power Grids.

46. BTI-Aware Timing Reliability Improvement of Pulsed Flip-Flops in Nano-Scale CMOS Technology.

47. A Detection and Weakening Method for GNSS Time-Synchronization Attacks.

48. Variable Measurement Interval for Channel-Adaptive Random Access.

49. Performance Optimization for Massive Random Access of mMTC in Cellular Networks With Preamble Retransmission Limit.

50. Soft-Error-Aware Read-Stability-Enhanced Low-Power 12T SRAM With Multi-Node Upset Recoverability for Aerospace Applications.