Search

Showing total 611 results

Search Constraints

Start Over You searched for: Search Limiters Full Text Remove constraint Search Limiters: Full Text Topic low-power Remove constraint Topic: low-power Publication Year Range Last 10 years Remove constraint Publication Year Range: Last 10 years
611 results

Search Results

1. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays

2. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays.

3. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

4. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

6. Low-Power Preprocessing System at MCU-Based Application Nodes for Reducing Data Transmission.

7. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

8. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

9. 用于短距光通信的超低功耗光发射器研究.

10. Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction Mechanism.

11. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

12. Computationally efficient low-power sigma delta modulation-based image processing algorithm.

13. Design and Implementation of CNFET SRAM Cells by Using Multi-Threshold Technique.

14. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

15. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

16. Low-power and high-speed SRAM cells for double-node-upset recovery.

17. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

18. A Novel Low-Power High-Precision Implementation for Sign–Magnitude DLMS Adaptive Filters.

19. Freezing: Eliminating Unnecessary Drawing Computation for Low Power.

20. A Low-Power Vision System With Adaptive Background Subtraction and Image Segmentation for Unusual Event Detection.

21. Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.

22. Design and application of a novel low-voltage low-power OTA using signal attenuation technique for high linearity.

23. A robust multi-bit soft-error immune SRAM cell for low-power applications.

24. A 4–6 GHz Single-Ended to Differential-Ended Low-Noise Amplifier for IEEE 802.11ax Wireless Applications with Inherent Complementary Distortion Cancellation.

25. Cost-Effective Robustness in Clock Networks Using Near-Tree Structures.

26. A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins.

27. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

28. Design of Generalized Enhanced Static Segment Multiplier with Minimum Mean Square Error for Uniform and Nonuniform Input Distributions.

29. A Real-Time 17-Scale Object Detection Accelerator With Adaptive 2000-Stage Classification in 65 nm CMOS.

30. Low-Cutoff Frequency Reduction in Neural Amplifiers: Analysis and Implementation in CMOS 65 nm.

31. Design of an Inverter-Base, Active-Feedback, Low-Power Transimpedance Amplifier Operating at 10 Gbps.

32. Chip implementation of low-power high-efficient buck converter for battery-powered IOT applications.

33. Low-Noise, Low-Power Readout IC for Two-Electrode ECG Recording Using Common-Mode Charge Pump for Robust 20-V PP Common-Mode Interference.

34. Spiking Neural Networks for Structural Health Monitoring.

35. Low-power hardware-efficient memory-based DCT processor.

36. Smart Wireless CO 2 Sensor Node for IoT Based Strategic Monitoring Tool of The Risk of The Indoor SARS-CoV-2 Airborne Transmission.

37. Design of a Highly Stable and Robust 10T SRAM Cell for Low-Power Portable Applications.

38. Bee Sound Detector: An Easy-to-Install, Low-Power, Low-Cost Beehive Conditions Monitoring System.

39. Particle Swarm Optimization Design of Low-Power Multistage Amplifier using gm/ ID Methodology.

40. Hybrid Dynamic CML with Modified Current Source (H-MDyCML): A Low-Power Dynamic MCML Style.

41. A 1.2V-to-0.4V 3.2GHz-to-14.3MHz Power-Efficient 3-Port Register File in 65-nm CMOS.

42. Design of Low-Power WiNoC with Congestion-Aware Wireless Node.

43. Direct-Conversion Sensor for Wireless Sensing Networks.

44. High-DR CMOS Fluorescence Biosensor With Extended Counting ADC and Noise Cancellation.

45. Adaptive Learning-Based Compressive Sampling for Low-power Wireless Implants.

46. A Built-In Self-Test and In Situ Analog Circuit Optimization Platform.

47. A Low-Power Area-Efficient Precision Scalable Multiplier with an Input Vector Systolic Structure.

48. Design of a Bulk-Driven High-Gain OTA Using Positive Feedback.

49. Ultrahigh-Sensitivity Piezoelectric AlN MEMS Speakers Enabled by Analytical Expressions.

50. An energy‐efficient dynamic comparator in Carbon Nanotube Field Effect Transistor technology for successive approximation register ADC applications.