Search

Showing total 183 results

Search Constraints

Start Over You searched for: Search Limiters Full Text Remove constraint Search Limiters: Full Text Topic low-power Remove constraint Topic: low-power Publication Year Range Last 3 years Remove constraint Publication Year Range: Last 3 years
183 results

Search Results

1. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

2. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

4. Low-Power Preprocessing System at MCU-Based Application Nodes for Reducing Data Transmission.

5. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

6. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

7. 用于短距光通信的超低功耗光发射器研究.

8. Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction Mechanism.

9. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

10. Computationally efficient low-power sigma delta modulation-based image processing algorithm.

11. Design and Implementation of CNFET SRAM Cells by Using Multi-Threshold Technique.

12. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

13. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

14. Low-power and high-speed SRAM cells for double-node-upset recovery.

15. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

16. A Novel Low-Power High-Precision Implementation for Sign–Magnitude DLMS Adaptive Filters.

17. Design and application of a novel low-voltage low-power OTA using signal attenuation technique for high linearity.

18. A robust multi-bit soft-error immune SRAM cell for low-power applications.

19. A 4–6 GHz Single-Ended to Differential-Ended Low-Noise Amplifier for IEEE 802.11ax Wireless Applications with Inherent Complementary Distortion Cancellation.

20. A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins.

21. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

22. Design of Generalized Enhanced Static Segment Multiplier with Minimum Mean Square Error for Uniform and Nonuniform Input Distributions.

23. Chip implementation of low-power high-efficient buck converter for battery-powered IOT applications.

24. Low-Noise, Low-Power Readout IC for Two-Electrode ECG Recording Using Common-Mode Charge Pump for Robust 20-V PP Common-Mode Interference.

25. Spiking Neural Networks for Structural Health Monitoring.

26. Low-power hardware-efficient memory-based DCT processor.

27. Smart Wireless CO 2 Sensor Node for IoT Based Strategic Monitoring Tool of The Risk of The Indoor SARS-CoV-2 Airborne Transmission.

28. Design of a Highly Stable and Robust 10T SRAM Cell for Low-Power Portable Applications.

29. Bee Sound Detector: An Easy-to-Install, Low-Power, Low-Cost Beehive Conditions Monitoring System.

30. A Low-Power Area-Efficient Precision Scalable Multiplier with an Input Vector Systolic Structure.

31. Design of a Bulk-Driven High-Gain OTA Using Positive Feedback.

32. Ultrahigh-Sensitivity Piezoelectric AlN MEMS Speakers Enabled by Analytical Expressions.

33. An energy‐efficient dynamic comparator in Carbon Nanotube Field Effect Transistor technology for successive approximation register ADC applications.

34. Design of a low-voltage and low-power, reconfigurable universal OTA-C filter.

35. A High-Speed and Power-Efficient Approximate Adder for Image Processing Applications.

36. CORDIC Hardware Acceleration Using DMA-Based ISA Extension.

37. Accurately Modeling Zero-Bias Diode-Based RF Power Harvesters With Wide Adaptability to Frequency and Power.

38. A 0.0022 mm2 10 bit 20 MS/s SAR ADC with Passive Single-Ended-to-Differential-Converter

39. Design and Analysis of Low-Power Bulk-Driven Operational Transconductance Amplifier: A Self-Cascode Partial Positive Feedback Approach.

40. SMS-CAM: Shared matchline scheme for content addressable memory.

41. A Review on Antenna Technologies for Ambient RF Energy Harvesting and Wireless Power Transfer: Designs, Challenges and Applications

42. A 28 nm CMOS Triple-Latch Feed-Forward Dynamic Comparator With <27 ps / 1 V and <70 ps / 0.6 V Delay at 5 mV-Sensitivity.

43. A 1.8 V Low-Power Low-Noise High Tunable Gain TIA for CMOS Integrated Optoelectronic Biomedical Applications.

44. An Ultra-Low-Power Optical Transmitter for Short-Reach Optical Communication

45. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson

46. A new low-power Dynamic-GDI full adder in CNFET technology.

47. A g m /I D -Based Low-Power LNA for Ka-Band Applications.

48. Recent Developments in Low-Power AI Accelerators: A Survey

49. Advancements in Neural Recording Circuits: Review of Nerve Biopotentials, and Neural Recording Circuit Architectures

50. S²RAM: Optimization of SRAM With Memory Access Patterns