170 results on '"high aspect ratio"'
Search Results
2. Fabrication of Neodymium (Nd), Cadmium (Cd) and Nd:Cd doped hybrid copper oxide nanocomposites: Evaluation of their antibacterial activity and cytotoxicity against human L132 cell line.
- Author
-
Gopinath, Kasi, Gnanasekar, Sathishkumar, Al-Ghanim, Khalid A., Nicoletti, Marcello, Govindarajan, Marimuthu, Arumugam, Ayyakannu, Balalakshmi, Chinnasamy, and Thanakkasaranee, Sarinthip
- Subjects
- *
HEAVY metals , *ANTIBACTERIAL agents , *CELL lines , *NEODYMIUM , *ESCHERICHIA coli , *COPPER oxide , *COPPER - Abstract
In the present study, Neodymium (Nd), Cadmium (Cd), and the various molar ratios of Nd: Cd doped copper oxide nanocomposites (CuO NCs) were prepared by the co-precipitation method. The as-synthesized Nd, Cd, and Nd:Cd doped CuO NCs [Cu 1-x + y Nd x Cd y O, (x:y = 0.006:0.00, 0.00:0.006, 0.005:0.001, 0.004:0.002, 0.003:0.003, M)] were characterized through various instrumentation techniques such as TGA, UV–Vis–NIR, FTIR, Raman, FL, XRD, ZP, FE-SEM with EDAX elemental mapping, HR-TEM and XPS analyses. Further, the antibacterial activity of doped CuO NCs was tested against Staphylococcus aureus and Escherichia coli. An equal molar ratio of Nd and Cd doped CuO NCs showed excellent antibacterial activity mainly due to the synergistic effect of sufficient Nd3+, Cd2+, and Cu2+ ions releasing ability. Interestingly, the doping effect enhances surface defects and decreases the ability to scavenge free radicals compared to pure CuO nanomaterials. At the same time, the cytotoxicity of NCs was evaluated on the human lung epithelial L132 cell line. Evidently, 75 μg/ml concentration of Nd:Cd doped CuO NCs samples shows 80% viability, which confirms their negligible cytotoxic effect. The Nd:Cd doped CuO NCs (94:3:3) had a high aspect ratio shape, remarkable ion-releasing ability, and biocompatibility while being thermally stable. Because of these qualities, they are well suited for treating bacterial infections in the biomedical area. • Nd and Cd doped CuO NCs was successfully synthesized by co-precipitation method. • The equal molar ratio of Nd and Cd excellently substituted the CuO matrix and extends growth direction [0 1 0] plane. • CuO NCs showed ROS-mediated antibacterial activity in S. aureus and E. coli via releasing Nd3+ and Cd2+ ions. • Cytocompatibility of CuO NCs against L132 cell line opens-up the possibilities for further pre-clinical investigations. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
3. Synthesis of zirconium boride (ZrB2) rod crystals through salt-assisted boro/carbothermal reduction.
- Author
-
Chen, Zhibo, Suzuki, Tohru S., and Wang, Hailong
- Subjects
- *
ZIRCONIUM boride , *CRYSTALS , *DIFFERENTIAL scanning calorimetry , *TRANSMISSION electron microscopy , *HIGH temperatures - Abstract
High-aspect-ratio ZrB 2 rod crystals were synthesized via a molten-salt-mediated boro/carbothermal reduction using ZrOCl 2 · 8H 2 O/B 4 C/C as the raw material and NaCl as the flux material. This synthesis may improve the poor fracture toughness of monolithic ZrB 2 ceramics. X-ray diffraction and scanning electron microscopy confirmed that the heat-treatment temperature of 1550 °C and the addition of 50 wt% NaCl were the most effective in the preparation of ZrB 2 grains with a high aspect ratio. Thermogravimetric and differential scanning calorimetry was used to study the influence of NaCl addition, which increased the liquid-phase content. Finally, the growth of ZrB 2 grains along the c-axis into rod-like morphology at elevated temperatures was investigated by transmission electron microscopy. This work revealed that the addition of NaCl has a positive effect on the reducing of synthesis temperature and increasing of products particle size. The ZrB 2 rod crystals synthesized have diameters and aspect ratios of approximately 1 μm and 30, respectively. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
4. Nanometer precision height gauge for small holes with high aspect ratio.
- Author
-
He, Ya-Xiong, Li, Rui-Jun, Li, Jie, Cheng, Zhen-Ying, Pan, Qiao-Sheng, Huang, Qiang-Xian, and Fan, Kuang-Chao
- Subjects
- *
MICHELSON interferometer , *GAGES , *STRUCTURAL design , *ASPECT ratio (Images) , *SIGNAL processing , *INTERFEROMETERS - Abstract
The demand for measuring the feature size of small holes is increasing. In this study, a nanometer precision height gauge for small holes with a high aspect ratio was developed. The height gauge comprises a Michelson interferometer, a moving and weight balance system, a tactile probing system, and a positioning control system. The errors due to the angular deflection of the moving stage were analyzed, and a corner-cube-based interferometer was designed. The structural design of the moving and weight balance systems was explored. A tactile micro/nano-probing system was developed and used. The signal processing, positioning control and measurement strategy, and software were developed. The system was calibrated and verified by testing the reference ring gauge. The experimental results show that the height gauge can achieve a resolution of 5 nm, a measurement uncertainty of 90 nm (k = 2), and a measuring range of 30 mm. The prototype can be used to measure the depth of small holes with a high aspect ratio. • This study presentes a nanometer precision height gauge for small holes with high aspect ratio by using a tactile probe. • Micro-hole and micro-groove depth can be measured with nanometer accuracy. • A moving and weight balance system is designed. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
5. Fabrication of high aspect ratio ceramic micro-channel in diamond wire sawing as catalyst support used in micro-reactor for hydrogen production.
- Author
-
Li, Xinying, Fu, Dongbi, Xu, Wenjun, Yuan, Ding, Jiang, Xiyang, Fu, Ting, Chu, Xuyang, Gao, Yufei, and Zhou, Wei
- Subjects
- *
CATALYST supports , *HYDROGEN production , *SAWING , *STEAM reforming , *CERAMIC materials , *JEWELRY stores , *WIRE , *CERAMICS - Abstract
Ceramic is an ideal material for preparing micro-channel catalyst supports with their characteristics of high temperature resistance, corrosion resistance and mechanical strength. High aspect ratio micro-channel structure has the advantages of large specific surface area, strong mass and heat transfer performance and high material utilization. However, ceramic materials are hard and brittle, and it is difficult to fabricate micro-channel structures with aspect ratio more than 1.5:1 by traditional processing methods. In this paper, a cutting method of large diameter diamond wire sawing was proposed. The micro-channels with width of 520 μm and aspect ratio of more than 4:1 was successfully fabricated by this method. Furthermore, the integrity of the micro-channel structure processed by diamond wire sawing was analyzed. And than the effect of surface morphology in different processing parameters on the catalyst loading performance were studied. The catalyst loading strength of ceramic slices with different surface morphology was tested. Finally, the ceramic micro-channel array was used as the catalyst support in micro-reactor for hydrogen production via methanol steam reforming (MSR). The methanol conversion rate and H 2 production rate could reach 87.8% and 74.6 mmol/h, respectively under GHSV 12600 ml/g·h at 300 °C. The experimental results show that the large-diameter diamond wire sawing technology can be used to process ceramic microchannels with high aspect ratio; using ceramic microchannel arrays as catalyst supports in hydrogen production can obtain better reaction performance; the feasibility of ceramic materials were broadened as microchannel catalyst supports. • The large diameter diamond wire sawing technique was proposed to fabricate high aspect ratio ceramic-based microchannel array. • Influences of surface morphology on catalyst loading performance were analyzed. • Ceramic-based microchannel array was used in methanol steam reforming reaction for hydrogen production and better performance was obtained. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
6. Weight function and stress intensity factors for external circumferential surface cracks with high aspect ratio in cylinders.
- Author
-
Yuan, Kuilin, Dong, Kun, Fang, Qitian, and Zhen, Chunbo
- Subjects
- *
SURFACE cracks , *FRACTURE mechanics , *CORROSION fatigue , *FINITE element method , *NUMERICAL integration , *STRESS corrosion cracking - Abstract
Surface cracks with crack aspect ratio greater than unity have been detected in pipes and cylindrical vessels vulnerable to fatigue and stress corrosion cracking. Accurate solutions of stress intensity factors are prerequisite for predicting the crack growth behaviour of cracked cylinders. In this study, a weight function for the calculation of stress intensity factors for external circumferential surface cracks with high aspect ratio in hollow cylinders is developed. First, three-dimensional finite element models for the surface cracks with aspect ratios 1.0 ≤ a / c ≤ 2.0, ratios of crack depth to thickness 0.1 ≤ a / T ≤ 0.8 and ratios of thickness to inner radius 0.02 ≤ T / R i ≤ 0.2 are developed and validated. An efficient numerical integration scheme using isotropic elements and the Gauss-Legendre quadrature is suggested for evaluating the integral involving weight function. The unknown weight function coefficients can be then determined by the stress intensity factors obtained from finite element models. Comprehensive comparisons between the results predicted by the derived weight function and finite element analysis are performed for various one-dimensional and two-dimensional stress distributions, indicating a fairly good agreement. The maximum relative errors with respect to finite element solutions are within 8 % for both the surface and deepest points. The present results can complement the database of stress intensity factors and weight function previously developed for external circumferential surface cracks with low aspect ratios 0.2 ≤ a / c ≤ 1.0 in cylinders. • Weight function for external circumferential surface cracks with high aspect ratio in cylinders is developed. • An efficient numerical integration scheme is formulated. • The developed weight function can be applied to a wide range of surface crack shapes. • Acceptable accuracy for stress intensity factor prediction. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
7. Effect of Electrode Shape on High Aspect Ratio Deep Hole Drilling by EDM.
- Author
-
HIRAO, Atsutoshi, GOTOH, Hiromitsu, and TANI, Takayuki
- Abstract
In general, as the machining depth increases, the machining speed gradually slows down by electric discharge machining (EDM). In particular, when the aspect ratio exceeds 3 (L/D=3), the machining speed becomes significantly stagnant. This is because the machining debris and coalesced bubbles by EDM, which intervene between the working gaps, have a significant effect. The higher the aspect ratio (L/D>5), the longer the distance from the bottom to the top of the hole. Therefore, it is difficult to effectively discharge the machining debris and coalesced bubbles generated at the bottom of the hole. If they can be removed, deeper hole drilling can be performed. In this study, we investigated the effect of the tool electrode shape on deep-hole drilling using EDM. We developed a stirrer-shaped electrode. Compared with the round bar electrode, the stirrer-shaped electrode was able to machine approximately 3.5 times deeper. We have also developed an observation system for deep-hole drilling using the EDM method. Machining debris and coalesced bubbles were observed from a lateral view using the observation system. As a result, it was confirmed that the dielectric working fluid between the working gap turned black when the round bar electrode was used. This is because the machining debris was not removed from the top of the hole and remained at the side between the working gap. Therefore, the electrode and workpiece were frequently short-circuited, and the Z-axis was raised. Further, we made a dumbbell-shaped electrode and used it for EDM. By using this electrode, the bubbles generated by EDM were removed. As a result, deep hole drilling with a high aspect ratio was achieved. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
8. Aspect ratio-dependent leaning of a block array in 3D NAND flash memory.
- Author
-
Kim, Beomsu, Yoon, Dong-Gwan, Sim, Jae-Min, and Song, Yun-Heub
- Subjects
- *
FLASH memory , *STRESS relaxation (Mechanics) , *STRAINS & stresses (Mechanics) , *RESIDUAL stresses , *LATERAL loads - Abstract
This paper presents an analysis of the leaning angle in relation to the aspect ratio of the block array in 3D NAND flash memory with a high aspect ratio using TCAD simulation. The simulation data were validated through cross-verification with the Stoney equation, a standard tool for diagnosing film stress. The study confirmed a linear relationship between intrinsic stress and film deformation in single-layer structures. In multi-layer structures, the deformation of the film changes according to the intrinsic stress of the most recently deposited layer. TCAD simulation used a block array structure comprising nine strings between each common source line and a layer range of 10 to 70. The residual stress from the mold stacking process leads to leaning because of stress relaxation after slit etching. Because of the accumulation of lateral stress at each deposition stage, the maximum lateral stress increases with the number of layers. • Leaning of a Block Array in 3D NAND Flash Memory was investigated using TCAD • The simulation data were validated through cross-verification with the Stoney equation • we observed uneven lateral stress between the top and bottom areas of the block array structure's left surface, suggesting that the resultant torque is the primary cause. • The residual stress from the mold stacking process leads to leaning because of stress relaxation after slit etching. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
9. Laser hybridizing with micro-milling for fabrication of high aspect ratio micro-groove on oxygen-free copper.
- Author
-
Hao, Xiuqing, Xu, Wenhao, Chen, Mengyue, Wang, Chen, Han, Jinjin, Li, Liang, and He, Ning
- Subjects
- *
COPPER surfaces , *ELECTRONIC equipment , *LASERS , *COPPER , *SURFACE roughness - Abstract
High aspect ratio (HAR) micro-grooves are of great significance for vacuum electronic devices. However, the fabrication of HAR micro-groove on oxygen-free copper remains challenge since the high surface quality is hard to achieve. Aiming to improve the surface quality, a hybrid and successive method, obtained by combining nanosecond laser and micro-milling (NLMM), was presented. The nanosecond laser achieves the maximum workpiece removal rate, while the subsequent micro-milling provides the desired machining quality. Compared to one-way full slot micro-milling (FSMM), the presented manufacturing method (NLMM) could improve the surface quality, namely the top burr formation and the bottom and sidewall surface roughness. Furthermore, the NLMM displayed a lower tool wear rate compared to FSMM. Finally, a desired S-shaped groove with an aspect ratio of 2.5, a width of 0.2 mm, and the cycle number of 30 was successfully fabricated. The study offers a promising aspect for improving the HAR micro-groove fabrication quality. • A novel method of laser and micro-milling hybridizing process was proposed to fabricate micro-groove on oxygen-free copper. • A S-type high aspect ratio micro-groove of oxygen-free copper with high surface quality was fabricated. • The influence of laser scanning strategy and laser parameters was studied. • The method could improve the surface quality in terms of top burr formation, the surface roughness, and reduce tool wear. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
10. High aspect ratio of micro hole drilling by Micro-EDM with different cross-section shape micro tools for flushing process.
- Author
-
Huang, Tzu-Wei and Sheu, Dong-Yea
- Abstract
Even though the micro hole with diameter smaller than micro-meters could be made by micro-EDM process, however, the deep micro hole with high aspect ratio is a critical challenge due to the flushing problem. By conventional micro-EDM process, micro hole with the aspect ratio larger than 5~7 is still quite difficult to achieve perforations drilling. Flushing process is the key-point for deep micro hole drilling by micro-EDM. In order to improve the flushing problem, the single and dual notch cross-sectional micro tools made by WEDG technology were used for micro-EDM drilling in this study. The notch zone provides the space gap for non-flushable debris to avoid the abnormal electro-discharge generation between micro tool and work-piece. The machining characteristics of EDM drilling such as tool wear, section shape area, machining time and micro hole diameter by different cross-section micro electrode tool have been investigated in this study. The experimental results show that the single cross-sectional tools with larger notching area enhance the aspect ratio of micro hole drilling to 10 times. The roundness of tungsten carbide micro hole is approximately 0.001 mm. In the near future, it is quite possible to achieve the excellent productivity of high aspect ratio micro hole by micro EDM drilling while the notch cross-sectional micro tools are available in the commercial market. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
11. Micro-pin Forming under Consideration of Vibrational Frequency.
- Author
-
Hirao, Atsutoshi, Gotoh, Hiromitsu, and Tani, Takayuki
- Abstract
Micro-forming tool is very important for micro-hole drilling. Electrical discharge machining (EDM) is used in micro-fabrication because of low reaction force. Moreover, micro-electrode is an important micro-tool. In this study, this paper proposes a micro-pin-forming method in which a rotating cylindrical electrode approaches a forming plate. As the processing area in this method is larger than those other methods, a higher removal rate is achieved compared to other methods. Moreover, a high aspect ratio of the micro-pin is achieved. A rotating WC-Co rod of 0.5 mm diameter is moved through the plate that is a zinc alloy plate of 2 mm width and 5 mm thickness. The result showed thad a micro-pin electrode of 90 μm diameter and 5 mm length can be gotten reproducibly. However, a micro-pin forming smaller than 10 μm in diameter is difficult to obtain using the machine tools currently available in the market. Miniaturization limits through micro-pin forming by the EDM are determined based on the discharge conditions, grain size of pin material, thermal residual stress, and lateral vibration of the micro-pin caused by the rotation of the micro-pin. In this study, it is considered that micro-pin vibration caused by its rotation, which affects the miniaturization limits. The rotational speed of the micro-pin is an important factor for high aspect ratio of the micro-pin forming. Finally, the forming of a micro-pin of 8 μm diameter and 250 μm length is performed considering the rotation of the micro-pin. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
12. Enhancing gust load alleviation performance in an optimized composite wing using passive wingtip devices: Folding and Twist approaches.
- Author
-
Ahmadi, Majid, Farsadi, Touraj, and Haddad Khodaparast, Hamed
- Subjects
- *
GUST loads , *PASSIVE components , *MULTIDISCIPLINARY design optimization , *AERODYNAMIC load , *PARTICLE swarm optimization , *ASPECT ratio (Aerofoils) - Abstract
This paper introduces an innovative numerical method for the design and optimization of high-aspect-ratio composite wings equipped with passive control systems, specifically, Folding WingTip (FWT) and Twist WingTip (TWT) devices. The aim is to enhance Gust Load Alleviation (GLA) performance in the baseline wing. Recent numerical studies have indicated that the inclusion of spring devices and wingtip modifications can offer additional benefits in alleviating gust loads during flight. The baseline wing is designed using a comprehensive multi-disciplinary optimization framework, taking into account aerostructural constraints and exploiting the anisotropic properties of composite materials. The proposed methodology integrates Finite Element (FE) software, an in-house Reduced Order Model (ROM) framework for nonlinear aeroelastic analyses, and Particle Swarm Optimization (PSO). This method, implemented in the Nonlinear Aeroelastic Simulation Software (NAS2) package, facilitated the streamlined design of composite wings with optimized aeroelastic and structural performance. The paper is divided into two main parts. Part 1 introduces a Multidisciplinary Design Optimization (MDO) approach for high-aspect-ratio composite wings, leading to the development of a baseline wing model. Part 2 evaluates the effectiveness of the FWT and TWT devices in alleviating gust loads on the baseline wing, with a focus on the Root Bending Moment (RBM) as a critical criterion for comparison. In wingtip modeling, geometrical nonlinearity is incorporated, and elastic trim is adjusted in each iteration to accommodate shape changes under load and aerodynamic panel movement is synchronized with structural adjustments. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
13. Fabrication of high aspect ratio grooves on aluminium nitride by laser and chemical milling enhanced micro milling.
- Author
-
Chen, Ni, Liu, Jiawei, He, Ning, Xiao, Xingzhi, Zhao, Junyi, Jia, Yibo, and Yu, Nan
- Subjects
- *
CHEMICAL milling , *ALUMINUM nitride , *ELECTRONIC packaging , *LASER ablation , *LASERS - Abstract
Aluminium nitride (AlN) ceramic is a typically difficult-to-machine material used in electronic packaging. Laser and chemical milling enhanced micro milling (LCMEM), a high-quality and efficient processing method for AlN, is proposed in this study. Rough machining is completed by repeated alternating laser ablation and chemical removal; the final finish is achieved by micro milling (MM). To achieve precise laser and chemical milling parameters based on the target structure, a laser and chemical milling (LCM) prediction model is established and verified; this can be used to predict the morphology of the grooves after LCM. The change trend of the grooves after LCM with the laser parameters is investigated. It was found that LCMEM can improve the surface quality by 60 %, and an optimal finishing surface with a surface roughness Ra value of 54 nm can be obtained when the feed per tooth is 0.4 µm/z. Lastly, this study uses LCMEM to produce a high aspect ratio groove with aspect ratio of 2.5 and depth of 1250 µm. Compared with conventional MM, LCMEM reduces the allowance of MM by 71.95 %, improves the groove accuracy, and reduces tool wear. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
14. New method for the deposition of thin films on the inner walls of a deep blind hole: Application to semiconductor doping.
- Author
-
Maggioni, Gianluigi, Bertoldo, Stefano, Carraro, Chiara, Raniero, Walter, Sgarbossa, Francesco, Napolitani, Enrico, and De Salvador, Davide
- Subjects
- *
SEMICONDUCTOR doping , *THIN film deposition , *NUCLEAR counters , *DOPED semiconductors , *GAMMA rays - Abstract
A novel method for the deposition of thin films of dopant elements on the inner walls of a hole with a high aspect ratio aimed at doping germanium is here described. GeAl x and Sb were evaporated from a W filament inserted inside a hole 10 mm in diameter and 80 mm deep (aspect ratio 8:1). The filament was previously coated by sputtering with either GeAl x or Sb film. The filament heating process is fast enough to ensure very limited temperature increase on the inside walls of the hole as demonstrated by a heat balance calculation, thus preventing the introduction of contaminant species in the doped semiconductor. The filament was inserted in a purpose-built sample holder where planar substrates acted as the inner walls of the hole. The thickness distribution of the films evaporated on these substrates was characterized and correlated with the thickness distribution of the sputtered films deposited on the filament. In view of the final application of this process, i.e., doping of coaxial Ge-based gamma radiation detectors, GeAl x and Sb films were evaporated on Ge substrates and then subjected to pulsed laser melting to induce metal diffusion and doping of Ge surface. Measurements of the electrical activation of the laser melted samples pointed out the successful doping by both elements, i.e., p + doping for Al and n + doping for Sb. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
15. Directed assembly of nanomaterials using electrospray deposition and substrate-level patterning.
- Author
-
Zhu, Yaqun and Chiarot, Paul R.
- Subjects
- *
ELECTRIC charge , *PRINT materials , *TITANIUM dioxide , *POLYSTYRENE - Abstract
We report on the use of electrospray deposition and electrostatic focusing provided by a patterned photoresist layer to direct the assembly of nanomaterials on to a target substrate. Metallic (silver), semiconducting (titanium dioxide), and dielectric (polystyrene) particles were electrospray printed onto silicon and glass substrates with periodic photoresist patterns. The assembly and structure of the deposit was governed by the spray time, print material, substrate electrical properties, and geometry of the photoresist layer. The deposited particles could maintain an excess electric charge that influenced the assembly of subsequent particles. Tall, tree-like structures with high aspect ratio were formed on the silicon substrate when using silver and titanium dioxide particles. The growth of the deposit was inhibited for the polystyrene particles or when the target was glass. This technique was used to build two-layer deposits of different materials without having to align one layer to the next. Unlabelled Image • Electrospray with substrate-level patterning directs the assembly of nanoparticles. • High aspect ratio deposits are created using this technique. • The electrical properties of the particles and substrate govern the deposit structure. • Multi-material deposits are built with passive layer-to-layer alignment. • Substrate-level patterning enhances electrospray for additive manufacturing. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
16. Enhanced metal assisted etching method for high aspect ratio microstructures: Applications in silicon micropillar array solar cells.
- Author
-
Baytemir, Gulsen, Ciftpinar, E. Hande, and Turan, Rasit
- Subjects
- *
SILICON solar cells , *SOLAR batteries , *SOLAR cell design , *SOLAR cells , *SURFACE recombination , *CELL junctions - Abstract
• Radial junction for solar cells shortens the path length of the carriers. • High density array micropillars allow carrier collection in the radial direction. • High aspect ratio Si micropillars were fabricated by MAE through photolithography. • EtOH-containing MAE solution with increased HF concentration forms smooth structures. • 17.26% efficient radial juction solar cell with smooth micropillars was fabricated. A solar cell device, fabricated on high density array cylindrical pillars, enables photogenerated carrier collection in the radial direction, thus shortening the path length of the carriers reaching the junction. It also provides advantages over conventional planar junction solar cells, such as reduced surface reflectance and enhanced light trapping. In this study, highly ordered Si micropillars were fabricated by photolithography and metal assisted etching (MAE) methods. It is shown that the use of ethanol as a solvent during the etching process and increasing HF concentration in the MAE solution both improve the quality of the surfaces of the pillars. Micropillars with smooth sidewalls and a high aspect ratio were obtained in this way. Solar cells with a radial junction were then fabricated on these micropillars. Standard doping, SiO 2 /SiN x passivation, and metallisation steps were carried out for the fabrication of solar cells with different micropillar lengths. A significant decrease in reflectance values was observed as the micropillar length increased, as expected. Solar cell short circuit current density (J sc) and efficiency (η) of the solar cells tended to increase with micropillar length up to 11.5 µm and then decrease due to increased surface recombination. The maximum efficiency achieved in this study is 17.26%. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
17. Optimization of a TiSi2 formation based on PECVD Ti using DoE methodology.
- Author
-
Hößler, Diana and Ernst, Matthias
- Subjects
- *
TITANIUM compounds , *PLASMA-enhanced chemical vapor deposition , *METAL formability - Abstract
• Design of Experiment improves contact metallization. • Very thin PECVD Ti: Interactions of process parameters and measured values traced. • Small grained and homogeneous deposition inside contact trenches. • Low silicide contact resistances over the entire trench surface. • Optimization transferrable to other conventionally PECVD Ti processes. Very thin plasma enhanced chemical vapor deposition (PECVD) titanium (Ti) of 6 ± 1 nm was characterized on doped unstructured and structured Si substrate. Based on the current state of the art, we utilized the Design of Experiment (DoE) methodology, considering selected responses and factors of the Ti deposition and post annealing. This paper provides approaches on how to apply PECVD for unstructured silicon and structures with increasing aspect ratios. The derived process for unstructured wafers yields low resistivity at a Ti film thickness of approximately 7 nm. An uniform filling inside a test structure was achieved with a low process temperature of 590 °C, an increasing pressure and T i C l 4 -flow as well as a post rapid temperature anneal process (RTP) at medium temperature and time. The dispersion of the measured total resistance increases over the different trench distances at lower process pressure, higher power, and higher RTP. In contrast to the reference process configuration, the formed T i S i 2 is smaller-grained and more uniform within the entire test structure. Consequently, leveraging DoE for the used test structure geometries allows the evaluation and optimization of silicide and its properties as a function of contact geometry and of Si doping. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
18. An inverse method for the abrasive jet micro-machining of high aspect ratio channels of desired topography – Part II, experiments.
- Author
-
Ghazavi, Aria and Papini, Marcello
- Subjects
- *
BOROSILICATES , *ABRASIVES , *TOPOGRAPHY , *ABRASIVE machining - Abstract
Part I of this two-part paper presented an inverse technique to sculpt high aspect ratio (AR) micro-channel cross-sections of desired topography. In this paper, the methodology is experimentally verified for a variety of topographies machined in both brittle and ductile erosive materials. Micro-channels with trapezoidal and semi-circular cross-sections, and semi-circular protrusions were machined in borosilicate glass. Micro-channels with symmetric and asymmetric wedge-shaped cross sections were machined in poly-methyl-methacrylate PMMA. The chosen topographies represent the most challenging cases because they run counter to the natural surface evolution tendency for these two materials. Overall, the average error between the machined and desired profiles was 6.4% in borosilicate glass and 9% in PMMA. The methodology opens up new possibilities for the micro-fabrication of higher efficiency devices containing high-aspect ratio micro-features of virtually any desired shape. • Machined high AR features in glass and PMMA with raster pass AJM. • Semi-circular recessions of radii 500–900 μm were machined in glass. • Semicircular protrusions of radii 600 μm and 800 μm were machined in glass. • Ramps of 20°, 30° and 45° were machined in PMMA. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
19. An inverse method for the abrasive jet micro-machining of high aspect ratio channels of desired topography – Part I, theory.
- Author
-
Ghazavi, Aria and Papini, Marcello
- Subjects
- *
AUGMENTED reality , *METHYL methacrylate , *TOPOGRAPHY , *BOROSILICATES , *ABRASIVE machining , *MICROMACHINING - Abstract
Control of the cross-sectional shape of micro-channels may be of interest in micro-heat-sinks, microfluidic particle sorting, and micro-machine lubrication applications. It has been previously shown that inverse methods can be used to determine the abrasive jet micromachining (AJM) traverse speed and path required to sculpt desired micro-channel topographies, as long as the aspect ratios (AR, ratio of depth to width) are low (<0.06), such that the channel sidewall slopes are negligible. However, for many applications, higher AR channels with steep sidewalls are required. This paper introduces an iterative inverse method which allows prediction of the machining procedure required to sculpt higher (AR up to 1) micro-channels of prescribed cross-sectional shape using mask-less AJM. The method is developed for AJM in both ductile and brittle erosive systems, its robustness and limitations are discussed, and the iterative methodology is compared to the General Reduced Gradient optimization technique. Part II of the paper will experimentally verify the procedure for a wide variety of desired topographies machined in borosilicate glass and Poly(methyl methacrylate) (PMMA). • Inverse algorithm predicts the machining requirements for high AR cross-sections. • Most challenging topographies are semicircles in glass and ramps in PMMA. • Machining requirements are unique, a minimum radius of curvature is required. • Inverse algorithm converges faster than GRG-nonlinear optimization. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
20. Analysis of thermal stratification impact on the design of cooling channels for liquid rocket engines.
- Author
-
Leonardi, Marco, Pizzarelli, Marco, and Nasuti, Francesco
- Subjects
- *
ROCKET engines , *THERMAL analysis , *ENGINEERING models , *CHANNEL flow , *THERMAL resistance - Abstract
Highlights • Thermal stratification can be important in liquid-rocket-engine cooling-channels. • Thermal stratification limits efficiency of high aspect ratio cooling channels. • Engineering models capture thermal stratification and related pressure/power losses. • Number of channels minimizing loss only weakly depends on allowed wall temperature. • For given power/height the channel structural mass can be reduced up to ten percent. Abstract The choice of the shape and number of cooling channels is critical when seeking for the best design of a liquid rocket engine thrust chamber. Trade-off has to be considered among the different constraints that must be satisfied in terms of thrust chamber mass and resistance to mechanical and thermal loads, while keeping acceptable the power spent to make the coolant flow in the channels. In this study attention is focused on the phenomenon of thermal stratification that occurs in liquid rocket engine cooling channels due to their asymmetric heating. Its role is studied with a suitable engineering approach that allows to emphasize when rectangular cross section channels become inefficient due to stratification. A parametric analysis is carried out on a reference configuration showing the margins required to satisfy specific wall temperature constraints. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
21. Dynamic mesh method based on diffusion equation and nodal rotation for high-aspect-ratio composite wings.
- Author
-
Zhang, Bing, Ge, Yangjun, Zu, Lei, and Xu, Hui
- Subjects
- *
COMPOSITE structures , *DYNAMIC mechanical analysis , *HEAT equation , *FINITE element method , *DEFORMATIONS (Mechanics) - Abstract
Abstract In this paper a dynamic mesh method based on diffusion equation and nodal rotation is developed for high-aspect-ratio composite wings. Mesh displacement is described by diffusion equation and calculated by finite element method. The mesh was distorted because the displacement of the mesh nodes is uncoupled in different directions, and its quality diminished due to large deformation. The nodal rotational method is introduced to solve this problem. The technique used the same diffusion equation to describe the rotational angle and is solved by the finite element method. The node nearest the wall boundary is the rotational centre and the corresponding rotational vector is the rotational axis. The new position of the mesh node is obtained by rotating transformation. The mesh deformation of two-dimensional NACA0012 airfoil mesh, three-dimensional spherical mesh and high aspect ratio composite wings are verified for various cases. The results show that the present method is applicable to structural and unstructured/hybrid meshes. The mesh quality is improved and its overlap and negative volume are effectively prevented under large deformation. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
22. Synthesis, characterization, and efficient electrohydrodynamic patterning with a high aspect ratio of a soluble oligomerpyrrole derivative.
- Author
-
Lv, Guowei, Tian, Hongmiao, Shao, Jinyou, and Yu, Demei
- Subjects
- *
PYRROLE derivatives , *ELECTROHYDRODYNAMICS , *SOLUTION (Chemistry) , *CONDUCTING polymers , *SOLUBILIZATION - Abstract
Abstract To efficiently manufacture high-aspect-ratio micro−/nanopatterns of conducting polymers (CPs) via electrohydrodynamic patterning (EHDP), a soluble oligomerpyrrole derivative was synthesized through the method of side-chain-induced solubilization. The oligomerpyrrole derivative shows a low number-average molecular weight (M n) of 5674 due to the hindering effect of its bulky side chains and then exhibits excellent solubility, favorable film-forming ability and good thermal stability. It is found that because of the low viscosity and leaky dielectric property, a variety of high-aspect-ratio micro−/nanopatterns of the oligomerpyrrole derivative can be rapidly manufactured directly on a conductive substrate via changing the spacing of the air clearance of EHDP. This technique successfully provides a straightforward, economical and contactless way for patterning CP films into a series of high-aspect-ratio micro−/nanopatterns utilizing only a low-aspect-ratio mold. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
23. Modeling the impact of incomplete conformality during atomic layer processing.
- Author
-
Reiter, Tobias, Aguinsky, Luiz Felipe, Rodrigues, Frâncio, Weinbub, Josef, Hössinger, Andreas, and Filipovic, Lado
- Subjects
- *
ALUMINUM oxide , *DIFFUSION kinetics , *GAS phase reactions , *ATOMIC layer deposition - Abstract
Atomic layer processing (ALP) is a modern fabrication technique for the deposition or etching of materials, which provides precise control of film thickness, composition, and conformality on a nanometer scale. This makes it crucial for the fabrication of high aspect ratio (HAR) structures, such as 3D NAND memory stacks, as its self-limiting nature provides enhanced conformality compared to traditional processes. However, as the number of NAND stacks grows and the aspect ratio continues to increase, deviations from full conformality can often occur due to precursor desorption from the surface. In this regard, a model for surface coverage during ALD in the presence of desorption, leading to incomplete conformality, has been developed and implemented in process simulation frameworks. This work is an extension of our previous research which concentrated on developing an accurate modeling approach for ALD in HAR structures (L.Aguinsky et al., Solid State Electron. 201, 2023). The model combines existing Knudsen diffusion and Langmuir kinetics methods and includes the Bosanquet formula for gas-phase diffusivity and reaction reversibility. It has been incorporated into academic and commercial level-set-based topography simulators. The parameters for the model have been calibrated using published results for the ALD of Al 2 O 3 from trimethylaluminum (TMA) and H 2 O in HAR geometries. The temperature dependence of the H 2 O step is likewise analyzed, revealing an activation energy of 0.178 eV, which is consistent with recent experiments. In the TMA step, the Bosanquet formula leads to improved accuracy, and the same parameter set is able to reproduce multiple experiments, demonstrating that the model parameters accurately capture reactor conditions. Finally, the developed model is combined with atomic layer etching (ALE) to simulate the controlled, conformal deposition of HfO 2 inside HAR 3D NAND structures. • Atomic layer processing (ALP) provides high control of film thickness, composition and conformality. • In high aspect ratio (HAR) structures, precursor desorption leads to incomplete conformality. • A model for realistic ALP is developed and combined in a process simulation framework. • The model is calibrated to published results and applied to simulate ALP in HAR 3D NAND structures. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
24. Fabrication of high aspect ratio and low taper angle micro-holes utilizing complex water-assisted femtosecond laser drilling.
- Author
-
Zhang, Rui, Zhang, Hailong, Ke, Ronghe, and Wang, Xingsheng
- Abstract
• Composite water-assisted (SWF-BFWA) laser drilling strategy was proposed. • SWF-BFWA method can obtain micro-holes with aspect ratios greater than 12:1. • SWF-BFWA method enables to achieve micro-holes taper less than 0.1°. Water-assisted laser drilling has proven to be an effective technique for high-quality micro-holes fabrication. This study introduces an innovative hybrid approach, designated as spray water film and backside flowing water-assisted (SWF-BFWA) femtosecond laser drilling, which integrates the complementary advantages of spray water film-assisted (SWFA) and backside flowing water-assisted (BFWA) technologies. The proposed methodology combines the high processing efficiency and superior aspect ratio capabilities of SWFA with the excellent geometric precision and minimal taper characteristics inherent to BFWA. A sequential processing strategy was developed, incorporating SWF-BFWA penetration followed by BFWA modification, to achieve micro-holes with both high aspect ratios and low taper angles. To maximize processing efficiency, a single-circular modification trajectory was implemented during the BFWA stage, replacing conventional concentric circle patterns. The influence of laser parameters on modification outcomes was systematically investigated, with optimization of modification factors including the number of modifications, the single-circle diameter of the modification, and the amount of modification defocusing. Experimental results demonstrate that the optimized SWF-BFWA process enables the fabrication of high-quality micro-holes with aspect ratios exceeding 12:1 and taper angles below 0.1°. This investigation provides a comprehensive solution for laser-based fabrication of micro-holes with exceptional dimensional characteristics, offering significant potential for applications requiring high aspect ratios and minimal taper angles. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
25. Microstructure and electrical properties of polyimide-based composites reinforced by high-aspect-ratio titanium oxide nanowires.
- Author
-
Li, Yanpeng, Yang, Chen, Li, Na, Yin, Jinghua, Feng, Yu, Liu, Yuanyuan, Li, Jialong, Zhao, He, Yue, Dong, Zhu, Congcong, and Liu, Xiaoxu
- Subjects
- *
MICROSTRUCTURE , *NANOCOMPOSITE materials , *THIN films , *DIELECTRIC properties , *PERMITTIVITY - Abstract
Abstract Due to the unbalance between dielectric and insulation, the low dielectric constant and short corona aging life limit the application of the pure polyimide (PI). To achieve high dielectric constant and long corona aging life of polyimide-based composites simultaneously, the titanium oxide nanowires (TNWs) with high aspect ratio (20 nm in diameter, 10 μm in length and the aspect ratio is about 500) were reinforced into PI matrix by using in-situ polymerization in this study. The microstructure and electrical properties of PI/TNWs nanocomposite films with different mass fractions were investigated. The synchrotron radiation small angle X-ray scattering (SAXS) was carried out to explore how the interface structure affects the properties of PI/TNWs nanocomposite films. The results showed that the hybrid of TNWs not only make the structure of the composite films loose, but also increase the interfacial thickness of the PI matrix and TNWs. The dielectric constants of PI/TNWs nanocomposite films are increased dramatically up to 10.6 (about 3 times that of PI) with a only dielectric loss tangent of 0.03 at 101 Hz and the corona aging lifetime of 5 wt% PI/TNWs is closed to 28 h (about 9 times that of PI). In addition, the thermal stability of PI/TNWs nanocomposite films is also improved obviously. The resulted composites have a great potential application in the field of electrical insulation and electronic packaging. Highlights • TiO 2 nanowires (TNWs) with high aspect ratio was compounded into PI successfully. • The dielectric constant of PI/TNWs was up to 10.6 (about 3 times that of PI). • The Corona aging life of PI/TNWs reached to 28 h (about 9 times that of PI). • The thermal stabilities of PI/TNWs are obviously superior to that of PI. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
26. Analytical comparison of atomic layer deposition of oxide films inside trench and hole nanostructures.
- Author
-
Fadeev, A.V. and Rudenko, K.V.
- Subjects
- *
ATOMIC layer deposition , *OXIDE coating , *NANOSTRUCTURES , *CONFORMAL coatings , *MICROSTRUCTURE - Abstract
Abstract A comparative theoretical analysis of the atomic-layer deposition is implemented for trenches and cylindrical holes. The precursor insertion duration necessary for the conformal coating of the walls of three-dimensional structures is studied at different values of the structure aspect ratio and the sticking coefficient of the precursor molecules. The critical duration (the minimum time required for a conformal surface coating) has been estimated on the basis of the kinetic model of particle flows to the walls of the microstructure. Analytical expressions have been derived, which allow estimate the critical duration as a function of the parameters of the technological process (pressure, temperature). Highlights • Atomic layer deposition model into nanoscale trenches and holes is developed • Step coverage parameter as function of aspect ratio is estimated • Impact of sticking coefficient is discussed • Two asymptotic regimes for shallow and deep structures is identified • The analytical expression for minimal dosage time is obtained [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
27. Tuning of the aspect ratio of SnSe nanorods: A rapid and facile microemulsion templated approach.
- Author
-
Das, Laboni, Guleria, Apurav, Neogy, Suman, and Adhikari, Soumyakanti
- Subjects
- *
TIN selenide , *NANORODS , *MICROEMULSIONS , *CHEMICAL templates , *OPTOELECTRONICS - Abstract
Graphical abstract Abstract Recently, tin selenides have attracted considerable attention as an environmental friendly alternative to Cd and Pb based optoelectronic materials. Considering the meticulous procedures involved in the synthesis of tin selenide 1D nanostructures reported till date, we present here a rapid and highly facile approach for their formation, wherein a ternary water-in-oil microemulsion system (AOT (Aerosol-OT)/iso-octane/water) acts as a template. Various characterization studies confirmed the formation of crystallized nanorods of tin selenide with stoichiometric ratio of Sn:Se. Importantly, the dimensions of the nanorods can be conveniently tuned by simply varying the amount of water (W 0 ) of the microemulsions. This was evident from the formation of predominantly uniform size nanorods with an aspect ratio of ∼28 in microemulsions with lower W 0 values (≤ 10). On the contrary, primarily nanospheres were formed accompanied with fewer nanorods of lower aspect ratio (∼12) in case of microemulsions with W 0 ≥ 15. Moreover, this trend was also reflected in the UV–Vis absorption spectra. The plausible mechanism behind the formation of SnSe nanorods has been explained by taking into account the structural heterogeneity and the dynamic nature of the microemulsions. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
28. Magnetic and microwave absorption properties of Fe/TiO2 nanocomposites prepared by template electrodeposition.
- Author
-
Li, Yun, Cheng, Haifeng, Wang, Nannan, Zhou, Yongjiang, and Li, Tingting
- Subjects
- *
TITANIUM dioxide nanoparticles , *ELECTROPLATING , *ABSORPTION , *ALUMINUM oxide , *CRYSTAL structure , *MAGNETIC anisotropy - Abstract
Uniform, high filling Fe/TiO 2 core/shell nanowire arrays with different aspect ratio were fabricated by a two-step controllable electrodeposition technique using anodic aluminum oxide (AAO) membrane as template. Amorphous structure of TiO 2 nanotubes and polycrystalline nature of Fe nanowires were confirmed by transmission electron microscopy (TEM). It was demonstrated experimentally that the arrays with high aspect ratio exhibited more obvious magnetic anisotropy. Based on the experimental results, microwave magnetic loss of the arrays with high aspect ratio was attributed to eddy-current loss and hysteresis loss. However, microwave magnetic loss of the arrays with low aspect ratio originated from natural ferromagnetic resonance (NFR), which led to the shift of resonance frequency to the GHz range. The bandwidth (RL ≤ 10 dB) of the arrays with high aspect ratio was obtained in the frequency of 10.8–14.9 GHz, indicating excellent microwave absorption performance of Fe/TiO 2 core/shell nanowire arrays at high frequency. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
29. Ultra-tall sub-wavelength gold nano pillars for high sensitive LSPR sensors.
- Author
-
Liu, Jianpeng, Ma, Yaqi, Shao, Jinhai, Zhang, Sichao, and Chen, Yifang
- Subjects
- *
WAVELENGTHS , *SURFACE plasmon resonance , *DETECTORS , *NANOFABRICATION , *ELECTROPLATING - Abstract
Free standing gold pillars with sub wavelength pitch have successfully demonstrated the sensing functionality by utilizing the local surface plasmonic resonance in longitudinal mode along the height. So far, the reported pillar height is not beyond 1 μm, limiting the further improvement in the sensitivity of refractive indices. Our numerical simulation by the method of finite-difference time-domain shows that the sensitivity can be enhanced by either increasing the height or narrowing down the gap between the pillars. Nanofabrication of gold pillar arrays with the heights from 1 μm up to 2.6 μm, as well as the gaps from 200 nm down to 50 nm were successfully carried out by electron beam lithography combined with electroplating. The surface plasmonic resonance localized in such tall pillar array was both numerically simulated and experimentally characterized. The highest sensitivity as refractive index unit in Au nano-pillar arrays was achieved. Our initial results show clear sensing behavior of refractive indices in such tall pillar arrays with 50 nm gaps. The advanced nanofabrication technique established in this work for gold nano-pillar arrays should find broad applications not only in plasmonic biosensors, but also in novel metamaterials for perfect imaging and anti-Doppler effect as well as X-ray source, etc. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
30. Nanofabrication of silicon nanowires with high aspect ratio for photo-electron sensing.
- Author
-
Feng, Bo, Deng, Jianan, Lu, Bingrui, Xu, Chen, Wang, Yiwen, Wan, Jing, and Chen, Yifang
- Subjects
- *
NANOFABRICATION , *SILICON nanowires , *PHOTOELECTRONS , *OPTOELECTRONIC devices , *INELASTIC electron scattering , *ELECTRON beam lithography - Abstract
Nanoscale silicon wires with high aspect ratio are beneficial in light harvesting for high responsivity in photoelectronic detectors. Reduction of wire width increases the surface-to-volume ratio, but introduces inelastic electron-boundary scattering caused by line edge roughness, limiting the photoconductivity at the same time. This paper is motivated by these structural effects on the device performance, aiming to develop the photoelectronic detectors with ultra-fine Si nanowires with high aspect ratio. Electron beam lithography assisted by Monte Carlo simulation was carried out on HSQ, which was used as etch mask for forming high aspect ratio Si nanowires by reactive ion etch. As narrow as 5 nm HSQ lines with the line edge roughness of sub-3.6 nm was achieved. Masked by the replicated HSQ lines, silicon nanowires with the width from 75 nm down to sub-10 nm and the aspect ratio of 30:1 were achieved through a dry etch process. Characterization of photo-electronic responsivity of the fabricated Si nanowires in visible wavelength demonstrates the maximum responsivity can be achieved in an optimized width with which the surface-to-volume ratio is balanced by the sidewall roughness. The milestone established in this work covers not only the technical advances of Si nanowires with high aspect ratio but also physical understanding of the structural effect on the device performance. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
31. Creep constraint and fracture parameter C∗ for axial semi-elliptical surface cracks with high aspect ratio in pressurized pipes.
- Author
-
Tan, X.M., Wang, G.Z., Tu, S.T., and Xuan, F.Z.
- Subjects
- *
CREEP (Materials) , *SURFACE cracks , *PIPE fracture , *FINITE element method , *STRESS concentration , *MATHEMATICAL models - Abstract
Highlights • Creep constraint and fracture parameter C ∗ of high aspect ratio cracks are studied. • Constraint levels decrease with increasing aspect ratio of pipe cracks. • Creep constraint parameter A c solution for high aspect ratio cracks is obtained. • Maximum C ∗ along crack front of high aspect ratio cracks occurs near surface part. • Crack initiation location along crack front changes with increasing aspect ratio. Abstract The unified creep constraint parameter A c and fracture parameter C ∗ for axial semi-elliptical surface cracks with high aspect ratio in pressurized pipes have been investigated by three-dimensional finite element analyses. The results show that the constraint level near surface part is lower than that at the deeper part of crack front. With increasing crack aspect ratio a/c and decreasing crack depth a / t , the constraint levels decrease. The parameter A c solution for high aspect ratio cracks has been obtained. The crack aspect ratio a / c has significant effect on the C ∗ distributions along the crack fronts. For high aspect ratio cracks, the maximum C ∗ along the crack fronts occurs near surface part. With increasing crack aspect ratio a / c from 0.2 to 2, the creep crack initiation location will change from the deepest part of the crack front to the near surface part. For high aspect ratio cracks, if the calculations of C ∗ and A c at the deepest point is used to predict creep crack initiation life, non-conservative results may be produced. More benefits from incorporating constraint effects may be obtained for creep life assessments of pipes with high aspect ratio cracks due to their lower constraint. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
32. Rod-like grains with high aspect ratio and adjustment of competitive growth of perovskite and tungsten bronze structure in (1−x)Ba(Zr0.2Ti0.8)O3-xBa0.7Ca0.3FeTaO5 ceramics.
- Author
-
Bai, Han, Li, Jun, Wu, You, Shi, Kouzhong, Hong, Yang, and Zhou, Zhongxiang
- Subjects
- *
OSTWALD ripening , *PEROVSKITE , *TUNGSTEN bronze , *CERAMIC materials , *METAL microstructure , *SOLID oxide fuel cells - Abstract
(1– x )Ba(Zr 0.2 Ti 0.8 )O 3 - x Ba 0.7 Ca 0.3 FeTaO 5 (abbreviated as BXT– x , where x = 0.4, 0.5, 0.8 and 1) ceramics were successfully prepared through a conventional solid-state reaction method. The evolutions of structure and surface morphology with change of the component and the competitive growth of perovskite structure and tungsten bronze structure were investigated. BXT–1 ceramic is composed of rod-like grains with high aspect ratio (20–40) of tungsten bronze structure. Dielectric anomaly accompanied by frequency dispersion was observed in all BXT– x ceramics. We concluded that the dielectric relaxation in the ceramics is derived from electron hopping in the dipole composed of Fe 2+ and Fe 3+ and XPS data confirmed the coexistence of Fe 2+ and Fe 3+ in the ceramics. Moreover, the sintering atmosphere plays an important role in the competitive growth of perovskite structure and tungsten bronze structure. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
33. A novel approach to fabricate high aspect ratio micro-rod using ultrasonic vibration-assisted centreless grinding.
- Author
-
Xu, Weixing and Wu, Yongbo
- Subjects
- *
STRUCTURAL rods , *CENTERLESS grinding , *VIBRATION (Mechanics) , *ROTATIONAL motion , *WORKPIECES , *MECHANICS (Physics) - Abstract
To fabricate micro rods with high aspect ratio, this paper proposed a novel technique using ultrasonic vibration-assisted centreless grinding. Based on a comprehensive analysis of the workpiece rotational motion, the whole grinding system stiffness and its geometrical arrangement, a reliable mechanics model was successfully developed for clarifying the material removal process. Relevant experiments conducted showed that the model had captured the mechanics and the major workpiece rounding mechanisms. The workpiece rotational speed can be controlled accurately by the elliptic motion of vibration shoe through the contact friction, and higher vibration amplitude or frequency induces higher workpiece rotational speed. The load on vibration shoe significantly affects its vibration in both bending and longitudinal directions, and the vibration reaches its peak value as the loads on both sides are equal. A preload on one side of the shoe, under which the vibration on the other side comes to be comparatively stable, was identified. To balance the action force from workpiece during grinding, this preload should be applied on the vibration shoe. The grinding length can be up to the shoe's thickness, and workpiece in high aspect ratio with diameter from macro to micro scale can be fabricated easily using the proposed technique. With a step-by-step operation, a micro rod with aspect ratio 310:1 and diameter 42 µm (less than a human hair) was successfully ground. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
34. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process.
- Author
-
Chang, Bingdong, Leussink, Pele, Jensen, Flemming, Hübner, Jörg, and Jansen, Henri
- Subjects
- *
PHOTORESISTS , *ANISOTROPY , *MICROSTRUCTURE , *SEDIMENTATION & deposition , *EROSION - Abstract
The quest to sculpture materials as small and deep as possible is an ongoing topic in micro- and nanofabrication. For this, the Bosch process has been widely used to achieve anisotropic silicon microstructures with high aspect ratio. Reactive ion etching (RIE) lag is a phenomenon in which etch rate depends on the opening areas of patterns, aspect ratio of the trenches and other geometrical factors. The lag not only gives a non-uniform distribution of scallop size, but it also sets a limit for the maximum achievable aspect ratio. The latter since the mask suffers from persistent erosion. While different kinds of hard masks have been suggested to ensure a longer total etch time, here we report a correctly tuned 3-steps Bosch process – called DREM (Deposit, Remove, Etch, Multistep) – without mask erosion. The erosion-free feature is independent of the type of mask. For example, an aspect ratio of more than 50 is achieved for trenches with 1 μm linewidths, while no erosion is observed for 360 nm thin standard photoresists. The mechanism behind this extraordinary infinite selectivity is mainly due to the depletion of C 4 F 8 deposition species. Furthermore, a linear ramping of the etch step duration is performed to achieve a uniform distribution of scallop sizes along the etch profile. This outperforms the usual broad scallop size distribution when no parameter ramping is performed and improves the straightness of the etch profile considerably, in addition, it allows an easier way to control periodic sidewall shaping. e.g., a sausage-chain-like feature is demonstrated with an almost perfect periodicity. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
35. On twin substantially improved thermal properties and stability of pyrrolidinium-based ionanofluids with long multi-walled carbon nanotubes.
- Author
-
Scheller, Lukasz, Dziadosz, Justyna, Jóźwiak, Bertrand, Greer, Heather F., Jędrysiak, Rafał, Kolanowska, Anna, Dzido, Grzegorz, Cwynar, Krzysztof, Boncel, Sławomir, and Dzida, Marzena
- Subjects
- *
MULTIWALLED carbon nanotubes , *THERMAL properties , *THERMAL stability , *HEAT transfer fluids , *THERMAL conductivity - Abstract
• 'Twin-like' thermal conductivity of INFs with long MWCNTs was discovered. • A sky-rocketing thermal conductivity enhancement of 560% and 540% were was obtained. • INFs exhibited excellent thermal and sedimental long-term stability of 4 years. The quest for advanced heat transfer fluids with superior thermal properties has led to the exploration of ionanofluids (INFs). Such systems are composed of ionic liquids (ILs) and thermoactive dispersed nanoparticles, and offer promising opportunities for the enhanced heat transfer. In this work, we selected long multi-walled carbon nanotubes (MWCNTs) as well as 1-propyl-1-methylpyrrolidinium bis(trifluoromethylsulfonyl)imide ([C 3 C 1 pyr][NTf 2 ]) and 1-butyl-1-methylpyrrolidinium bis(trifluoromethylsulfonyl)imide ([C 4 C 1 pyr][NTf 2 ]) as base liquids. The chosen ILs have the same thermal conductivity and similar viscosity which results in the 'twin' thermal conductivity of related INFs in the whole range of MWCNTs loading as well as similar rheological performance. We find the remarkable synergistic effects of incorporating 5.0 wt% of long MWCNTs into [C 3 C 1 pyr][NTf 2 ]-based INF, resulting in a sky-rocketing 560% increase in thermal conductivity of INF compared to the base IL. The [C 4 C 1 pyr][NTf 2 ]-based INFs exhibited excellent thermal and sedimental long-term stability (4 years), characterized by change in thermal conductivity and in density lower than declared uncertainties. We proved that remarkably enhanced thermal conductivity was mainly influenced by the high aspect ratio of MWCNTs enabling formation of long-range, 3D nanotube networks additionally stabilized by nanolayers of IL on the MWCNTs surface. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
36. A skutterudite thermoelectric module with high aspect ratio applied to milliwatt radioisotope thermoelectric generator.
- Author
-
Jing, Hang, Xiang, Qingpei, Ze, Rende, Chen, Xiaoxi, Li, Jing, Liao, Jincheng, and Bai, Shengqiang
- Subjects
- *
THERMOELECTRIC generators , *SKUTTERUDITE , *OPEN-circuit voltage , *STRAINS & stresses (Mechanics) , *RADIOISOTOPES , *THERMAL resistance - Abstract
This study focuses on the design and optimization of a high aspect ratio skutterudite (SKD) thermoelectric module (TEM) for a milliwatt Radioisotope Thermoelectric Generator (RTG). The RTG is a solid-state energy conversion device that utilizes the heat generated by radioactive isotope decay to generate electrical energy through the Seebeck effect. The aim of this work is to enhance the output performance of the RTG by investigating the influence of TEM structure on temperature distribution and overall performance using thermal resistance network and finite element analysis (FEA) methods. In this work, the RTG's Radioisotope Heater Unit (RHU) and diameter are kept constant as 4 W and 70 mm, while the effects of leg length (L), number of legs (n), and cross-sectional area (A) on voltage, output power, and conversion efficiency are studied. It is observed that increasing L , selecting an appropriate A , and reducing n result in a larger temperature gradient within the TEM, ultimately improving the output power and conversion efficiency of the milliwatt RTG. For A = 0.64 mm2, L = 25 mm, and n = 36, the milliwatt RTG generates maximum output power (P max) of 86.95 mW and maximum conversion efficiency (η rmax) of 2.17%. To optimize the output power and conversion efficiency of the milliwatt RTG while simultaneously assuring the large voltage and manufacturability, a SKD TEM with 64 legs, each with dimensions of 0.8 × 0.8 × 25 mm3, is chosen. The milliwatt RTG installed with this SKD TEM will provide a voltage output (V out) of 1.13 V, maximum output power (P max) of 63.30 mW, and maximum conversion efficiency (η rmax) of 1.58%. Furthermore, it exhibits excellent environmental adaptability, capable of operating in ambient temperatures (T ∞) up to 538 K. The thermal stress distribution in the high aspect ratio SKD TEM is also analyzed. Due to the varying coefficients of thermal expansion (CTE) between the SKD material and the adhesive, the maximum stress within the SKD TEM occurs inside and around the p-SKD legs. Finally, a SKD TEM of 9.9 × 9.9 × 25 mm3 containing 64 legs with each leg's dimension of 0.8 × 0.8 × 25 mm3 is fabricated. It shows an open circuit voltage (V oc) of 3.51 V, a maximum output power (P max) of 194.45 mW, and a maximum conversion efficiency (η tmax) of 3.4% at the hot side temperature (T h) of 723 K and cold side temperature (T c) of 296 K. [Display omitted] • A skutterudite thermoelectric module with high aspect ratio is designed in a milliwatt RTG. • The applicable temperature of the RTG equipped with SKD TEM can reach 538 K. • The thermal stress analysis of the designed TEM is performed. • The designed SKD TEM is fabricated and shows output power of 194.45 mW and conversion efficiency of 3.4%. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
37. Micro-fabrication of high aspect ratio periodic structures on stainless steel by picosecond direct laser interference patterning.
- Author
-
Aguilar-Morales, Alfredo I., Alamri, Sabri, and Lasagni, Andrés Fabián
- Subjects
- *
MICROSTRUCTURE , *MICROFABRICATION , *STAINLESS steel , *LASER beams , *FABRICATION (Manufacturing) , *SURFACE topography - Abstract
We have studied the fabrication of line-like and pillar-like periodic microstructures on stainless steel by means of direct laser interference patterning. A picosecond (10 ps) pulsed Nd:YAG laser operating at 1064 nm wavelength was used to produce the microstructures with spatial periods ranging from 2.6 μm to 5.2 μm. By varying the laser parameters (laser fluence, pulse-to-pulse overlap) structure depths ranging from 500 nm to nearly 11.5 μm could be obtained. Furthermore, low and high frequency laser induced periodic surface structures (LIPSS) have been generated, resulting in three-level multi-scaled patterns. The orientation of the laser induced periodic structures with respect to the interference patterns could be adjusted by controlling the laser beam polarization. Finally, static water contact angle measurements are performed to investigate its correlation with the surface morphology. The treated surfaces are characterized using confocal and scanning electron microscopy. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
38. Smart Generator for Micro-EDM-Milling.
- Author
-
Giandomenico, Nicola, Richard, Jacques, Gorgerat, Florian-Henri, and Robert, Arnaud
- Abstract
Micro-EDM-Milling has a strong innovation potential because it can perform accurate and detailed cavies with a high aspect ratio. In this technology, the electrode wear and material removal produced by every discharge is determined by the effective power delivered by the generator during every pulse cycle. High speed electronics make now possible the statistical analysis of sparks distributions in real time during the machining but also the measurement and modulation of the characteristics of every spark-discharge. It is now possible to measure and control in real-time the electrode wear and the material removal with extreme accuracy. Our “Smart EDM Generator” has the functions of voltage measurement, spark analysis and process control. It can count the sparks and classified them, control the electro-erosion process and calculate the wear of the electrode. The statistical distribution of the sparks and other parameters can be acquired by the CNC-PC from a direct Ethernet link and be visualized on a specific HMI. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
39. High Aspect Ratio Microstructuring of Copper Surfaces by Means of Ultrashort Pulse Laser Ablation.
- Author
-
Büttner, H., Hajri, M., Roth, R., and Wegener, K.
- Abstract
Laser beam machining (LBM) is capable of almost force-free 2D and 3D machining of any kind of material without tool wear. This process is defined by many parameters, such as pulse energy, frequency, scanning velocity and number of scanning repetitions. Modern laser machines provide high energy at shorter pulse durations and have more precise positioning systems than machines of the past. These can easily fulfil today's continuous changing product requirements. For an overall understanding, an extensive amount of experimentation is required to display the interaction laws and dependencies between process parameters, as well as the resulting shapes and quality of the machined surface. By using an ultrashort pulse (USP) laser, a wide range of customer oriented applications in micrometer scale can be addressed, which leads to precise ablation with minimal thermal damage. This paper provides knowledge on the machining of copper micro features with high aspect ratio and a 532 nm wavelength laser beam. Aspect ratios up to 17 and slot widths smaller than 20 μm were performed with a beam radius ω 0 smaller than 5 μm and pulse duration smaller than 12 ps. For desired slot geometries, necessary process parameters were developed and their physical limits are shown and discussed. The limits of minimum structure size have been analysed by observing the remaining material between slots at decreasing distances. Material debris deposits on the non-machined surface, as well as chemical changes of copper, were analysed using scanning electron microscope (SEM) and energy dispersive X-ray spectroscopy (EDX). Special attention was given to the taper angle, which arises due to the Gaussian distribution of energy in the laser beam. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
40. A fast and low-cost microfabrication approach for six types of thermoplastic substrates with reduced feature size and minimized bulges using sacrificial layer assisted laser engraving.
- Author
-
Gu, Longjun, Yu, Guodong, and Li, Cheuk-Wing
- Subjects
- *
THERMOPLASTICS , *MICROFABRICATION , *POLYDIMETHYLSILOXANE , *BIOCHEMICAL substrates , *POLYMETHYLMETHACRYLATE , *CARBON dioxide lasers - Abstract
Since polydimethylsiloxane (PDMS) is notorious for its severe sorption to biological compounds and even nanoparticles, thermoplastics become a promising substrate for microdevices. Although CO 2 laser engraving is an efficient method for thermoplastic device fabrication, it accompanies with poor bonding issues due to severe bulging and large feature size determined by the diameter of laser beam. In this study, a low-cost microfabrication method is proposed by reversibly sealing a 1 mm thick polymethylmethacrylate (PMMA) over an engraving substrate to reduce channel feature size and minimize bulges of laser engraved channels. PMMA, polycarbonate (PC), polystyrene (PS), perfluoroalkoxy alkane (PFA), cyclic-olefin polymers (COP) and polylactic acid (PLA) were found compatible with this sacrificial layer assisted laser engraving technique. Microchannel width as small as ∼40 μm was attainable by a laser beam that was 5 times larger in diameter. Bulging height was significantly reduced to less 5 μm for most substrates, which facilitated leak proof device bonding without channel deformation. Microdevices with high aspect ratio channels were prepared to demonstrate the applicability of this microfabrication method. We believe this fast and low-cost fabrication approach for thermoplastics will be of interest to researchers who have encountered problem with polydimethylsiloxane based microdevices in their applications. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
41. Silicon based solvent immersion imprint lithography for rapid polystyrene microfluidic chip prototyping.
- Author
-
Chen, Jingdong, Wang, Wenjie, Ji, Weibang, Liu, Shaoding, Chen, Qiushu, Wu, Bimin, Coleman, Rhima, and Fan, Xudong
- Subjects
- *
POLYSTYRENE , *SILICON , *SURFACE properties , *LITHOGRAPHY , *MICROFLUIDIC devices , *MICROFABRICATION - Abstract
Polystyrene (PS) is preferred over polydimethylsiloxane (PDMS) in microfluidics for applications in cell biology. However, PS has not found widespread use in microfluidics due mainly to the lack of rapid prototyping techniques. Here we address this issue by developing a silicon based solvent immersion imprint lithography (Si-SIIL) technique. Silicon is rigid, mechanically robust, and highly compatible with standard microfabrication processes, and therefore, is a promising candidate for molds. Various PS microfluidic channels as small as 20 μm in width with the aspect ratio as high as 5 were demonstrated using Si-SIIL. Bubbles and bending generated in the fabrication process were analyzed and eliminated. The surface roughness was about 27 nm (rms). Compared to the untreated PS, the molded PS retained almost the same surface properties, as characterized by contact angle measurement and X-ray photoelectron spectroscopy. Cell culture was tested to demonstrate the utility of Si-SIIL in cell biology applications. The results show that PS, with the aid of Si-SIIL, can be an alternative material to PDMS in building microfluidic chips. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
42. Rapid fabrication of electrohydrodynamic micro-/nanostructures with high aspect ratio using a leaky dielectric photoresist.
- Author
-
Lv, Guowei, Zhang, Shihu, Shao, Jinyou, Wang, Guolong, Tian, Hongmiao, and Yu, Demei
- Subjects
- *
DIELECTRICS , *MICROFABRICATION , *PHOTORESISTS , *ELECTROHYDRODYNAMICS , *NANOSTRUCTURES , *RHEOLOGY - Abstract
A leaky dielectric photoresist was designed and prepared for rapid fabrication of high-aspect-ratio micro-/nanostructures via electrohydrodynamic patterning (EHDP). The rheological behavior and electrical properties of the photoresists were systematically investigated, since the structure formation in EHDP essentially originates from the flow and deformation of the polymeric film actuated by an applied electric field. It is found that the photoresists exhibit the suitable rheological behavior with a low viscosity of 2.4–157.7 mPa s, controllable electrical conductivity of 5.0 × 10 − 6 − 7.2 × 10 − 4 S m − 1 , as well as high homogeneity, minor surface tension of about 30 mN·m − 1 , favorable wettability and film-forming property on substrate and an extremely large reduction in the contact angle (down to 1.64°) of electrowetting on dielectric (EWOD). The EHDP results have shown that a higher electrical conductivity of the photoresists can lead to a higher filling height, a smaller characteristic wavelength and a shorter patterning time, while a lower viscosity can also lead to a shorter patterning time, which is accordance with the theoretical prediction. In addition, the patterning time of the photoresists cannot be too short because the following rapid ripening and coalescence of the formed microstructure will damage the high fidelity of the final pillar arrays. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
43. Multiscale CFD modelling for conformal atomic layer deposition in high aspect ratio nanostructures.
- Author
-
Chen, Yuanxiao, Li, Zoushuang, Dai, Ziao, Yang, Fan, Wen, Yanwei, Shan, Bin, and Chen, Rong
- Subjects
- *
ATOMIC layer deposition , *MULTISCALE modeling , *COMPUTATIONAL fluid dynamics , *GAS phase reactions , *NANOSTRUCTURES , *MASS transfer , *NANOPORES - Abstract
[Display omitted] • Feature-scale diffusion–reaction process is combined to reactor-scale CFD model. • Precursor concentration changes at the entrance are quantitatively investigated. • A complete ALD cycle in the high aspect ratio nanostructures is simulated. • CVD-like growth at the entrance is reduced as the gas flow rate is increased. • The simulation well matches experiments on nanopores with a 100:1 aspect ratio. The high-quality and conformal thin film is of great importance to performances of functional materials and devices with high aspect ratio nanostructures. Atomic layer deposition (ALD) is known for outstanding step coverage, yet the ideal coatings in high aspect ratio nanostructures requires the process optimization. In this work, we report a computationally efficient multiscale computational fluid dynamics (CFD) model for an accurate study of ALD process in high aspect ratio nanostructures. The continuum model of precursor mass transfer and surface micro-kinetics in the high aspect ratio nanostructure is coupled to the reactor scale CFD model. The dynamic distribution of the precursor in the high aspect ratio nanostructure is captured and the competition of the surface deposition with the precursor transfer has been analyzed. The complete ALD process parameters including pulse, pressure-holding and purging are studied. Increasing the gas flow rate correspondingly reduces the gas phase reaction, ensures the conformability, and shortens the process time. Pressure-holding leads to a better film conformality for the diffusion-limited ALD process, especially for precursors of low reactivity. The experiment of ALD coating in nanopores with an aspect ratio up to 100:1 agrees well with our simulation results, indicating the validity of the multiscale model. Multiscale modelling provides a manner to quantitatively study the comprehensive effects of full-scale parameters for better conformity and process efficiency. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
44. Fabrication and SERS activity of high aspect ratio copper nanowires prepared via solid-state ionics method.
- Author
-
Xu, Dapeng, Wang, Zhanpeng, Zhang, Song, Zhang, Yifan, Yang, Wei, and Chen, Jian
- Subjects
- *
COPPER , *NANOWIRES , *COPPER films , *COPPER electrodes , *SERS spectroscopy , *GOLD electrodes , *GOLD films ,FRACTAL dimensions - Abstract
Solid-state ionics method is a convenient, efficient and pollution-free method to prepare metal nanostructures with controllable morphology, size and roughness. The present work reports that the copper nanowires with the length of 5–50 mm, 1–3 mm and 2–8 mm can be prepared by solid-state ionics method at 6 μA direct-current electric field (DCEF) with fast ionic conductor RbCu 4 Cl 3 I 2 films using copper electrodes, gold electrodes and silver electrodes on the two ends of glass substrate, respectively. The surface morphologies, chemical compositions and surface-enhanced Raman scattering (SERS) effect of the copper nanowires were characterized. The results show that the diameters of copper nanowires composed of pure Cu ranged from 40 nm to 90 nm, 50 nm–80 nm and 30 nm–100 nm were prepared by using copper electrodes, gold electrodes and silver electrodes with vacuum thermal evaporation plating equipment (ZHD-300), respectively. The fractal dimensions of copper nanowires using copper electrodes is 1.406. Au+ and Ag+ in the anode displaces Cu+ of RbCu 4 Cl 3 I 2 films and the part of pure RbCu 4 Cl 3 I 2 films become RbCu (4-x) Au x Cl 3 I 2 (0<x ≤ 4) and RbCu (4-x) Ag x Cl 3 I 2 (0<x ≤ 4) using gold electrodes and silver electrodes, respectively. At the initial stage of growth prepared by gold and silver electrodes, the RbCu 4 Cl 3 I 2 channel for transporting copper ion is open and the growth of copper nanostructures ends with the increase of the amount of RbCu (4-x) Au/Ag x Cl 3 I 2 (0<x ≤ 4) and the blockage of the channel for transporting copper ions. The limiting concentrations for melamine and crystal violet (CV) detected by the prepared copper nanowires using copper electrodes are as low as 1 × 10−11 mol/L and 1 × 10−12 mol/L, respectively. The enhancement factor for melamine/copper nanowires and CV/copper nanowires are estimated to be 5.34 × 109 and 5.37 × 109, respectively. The discovery of the growth mechanism of copper nanowires prepared by solid-state ionics method provides the possibility to expand the types of metal nanostructures and prepare noble metal nanostructures with cheap metal electrodes by this method. The prepared copper nanowires with high aspect ratio, simple detection procedure and low detection concentration has promising and strong application prospect for SERS detection in food safety. [Display omitted] • High aspect ratio copper nanowires were prepared using three kinds electrodes. • Copper nanowires ranged from 40 nm to 90 nm, 50 nm–80 nm and 30 nm–100 nm. • The fractal dimensions of copper nanowires using copper electrodes was 1.406. • Growth mechanism of copper nanowires by solid-state ionics method was explored. • Limiting concentrations for melamine and CV are 1 × 10−11 mol/L and 1 × 10−12 mol/L. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
45. Large-lateral-area SnO2 nanosheets with a loose structure for high-performance acetone sensor at the ppt level.
- Author
-
Li, Chunyan, Choi, Pil Gyu, and Masuda, Yoshitake
- Subjects
- *
STANNIC oxide , *ACETONE , *GAS detectors , *NANOSTRUCTURED materials , *VOLATILE organic compounds , *METALLIC oxides - Abstract
Gas sensors with high sensitivity and high selectivity are required in practical applications to distinguish between target molecules in the detection of volatile organic compounds, real-time security alerts, and clinical diagnostics. Semiconducting tin oxide (SnO 2) is highly regarded as a gas-sensing material due to its exceptional responsiveness to changes in gaseous environments and outstanding chemical stability. Herein, we successfully synthesized a large-lateral-area SnO 2 nanosheet with a loose structure as a gas sensing material by a one-step facile aqueous solution process without a surfactant or template. The SnO 2 sensor exhibited a remarkable sensitivity (R a / R g = 1.33) at 40 ppt for acetone, with a theoretical limit of detection of 1.37 ppt, which is the lowest among metal oxide semiconductor-based gas sensors. The anti-interference ability of acetone was higher than those of pristine SnO 2 and commercial sensors. These sensors also demonstrated perfect reproducibility and long-term stability of 100 days. The ultrasensitive response of the SnO 2 nanosheets toward acetone was attributed to the specific loose large lateral area structure, small grain size, and metastable (101) crystal facets. Considering these advantages, SnO 2 nanosheets with larger lateral area sensors have great potential for the detection and monitoring of acetone. [Display omitted] [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
46. Effective X-ray micro computed tomography imaging of carbon fibre composites.
- Author
-
Zwanenburg, E.A., Norman, D.G., Qian, C., Kendall, K.N., Williams, M.A., and Warnett, J.M.
- Subjects
- *
X-ray computed microtomography , *CARBON composites , *FIBROUS composites , *COMPUTED tomography , *COMPOSITE structures , *X-rays , *MATRIX-assisted laser desorption-ionization , *X-ray imaging - Abstract
Compression moulding of carbon fibre sheet moulding compounds is an attractive manufacturing method for composite structures. Investigating fibre orientation, defects and voids in these components is important for quality control. X-ray computed tomography is a non-destructive imaging method used on different kind of sheet moulded compound to identify such issues, but it is still a challenge on carbon fibre sheet moulding compound due to the similarities in density of the carbon fibres and polymer matrix. This study aims to determine the best-practice for optimising acquisition parameters for imaging carbon fibre composites. The first experiment assessed the effect of excess material on a region of interest scans was investigated, a common acquisition approach to maintain resolution to resolve fibres. This showed in this specific case the scan quality decreases when surrounding material reaches approximately 75% of the field of view indicating region of interest scanning is feasible. In the second experiment seven X-ray computed tomography parameters were systematically evaluated to optimise image quality for observing the structures and defects, resulting in 168 scans. The results indicate that the source–detector distance and the source voltage have the most significant impact, where users should always consider maximising this distance and minimising voltage for the best image quality. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
47. Dexterous Machining of Unstable Thin Plate.
- Author
-
Kida, Yuya, Toyoda, Kohei, Beaucamp, Anthony, and Takeuchi, Yoshimi
- Abstract
In recent years, miniaturization and light weightedness are required for industrial products as well as high functionality. Thin plates are appropriate in terms of weight, however they are usually difficult to produce only by cutting operation due to the deformation by cutting force or vibration of the thin plate. This study deals with the machining of a twisted thin plate, whose height, width and thickness are 50 mm, 30 mm and 0.1 mm respectively, without using any auxiliary tool. Such a thin plate with high aspect ratio is easily broken down by the cutting force. Thus, the machining of thin plate with high aspect ratio is approached by devising a tool path strategy in this study. As the cutting force easily allows for deformation of twisted thin plate with high aspect ratio, two methods are devised with regard to the generation of the tool path, the method with a supporting frame and the peeling method so that the stiffness of the plate can be kept high. Then, the actual machining of thin plate with high aspect ratio, made of aluminum alloy, was realized without any breakage. As a result, it is found that such cutting method allows the possibility of fabricating such a twisted thin plate. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
48. Fabrication of high aspect ratio nanoscale periodic structures by the soft X-ray interference lithography.
- Author
-
Zhao, Jun, Wu, Yanqing, Xue, Chaofan, Yang, Shumin, Wang, Liansheng, Zhu, Fangyuan, Zhu, Zhichao, Liu, Bo, Wang, Yong, and Tai, Renzhong
- Subjects
- *
FABRICATION (Manufacturing) , *NANOSTRUCTURED materials , *X-ray interference , *SYNCHROTRON radiation sources , *PHOTORESISTS - Abstract
Nanoscale periodic structures have been utilized in the scintillator field to obtain enhanced light extraction efficiency. Sufficient structure depth is necessary to achieve better extraction efficiency. Recently, a soft X-ray interference lithography (SXIL) has been developed in the Shanghai Synchrotron Radiation Facility (SSRF). SXIL can be used to fabricate a high aspect ratio pattern due to the uniform distribution of the beam dose at the photoresist depth. A grating mask with a new photon stop layer was attempted, mainly consisting of Perm alloy, and it was optimized for the SXIL to increase the entire service life. Preliminary results suggest that PMMA structure with an aspect ratio of up to 3 has been successfully manufactured using SXIL techniques. Therefore, this technique has been studied to fabricate the artificial nanostructure on the scintillator in the high efficiency radiation detector area. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
49. Framework to model neutral particle flux in convex high aspect ratio structures using one-dimensional radiosity.
- Author
-
Manstetten, Paul, Weinbub, Josef, Filipovic, Lado, Selberherr, Siegfried, and Hössinger, Andreas
- Subjects
- *
COMPUTATIONAL intelligence , *SIMULATION methods & models , *MONTE Carlo method - Abstract
We present a computationally efficient framework to compute the neutral flux in high aspect ratio structures during three-dimensional plasma etching simulations. The framework is based on a one-dimensional radiosity approach and is applicable to simulations of convex rotationally symmetric holes and convex symmetric trenches with a constant cross-section. The framework is intended to replace the full three-dimensional simulation step required to calculate the neutral flux during plasma etching simulations. Especially for high aspect ratio structures, the computational effort, required to perform the full three-dimensional simulation of the neutral flux at the desired spatial resolution, conflicts with practical simulation time constraints. Our results are in agreement with those obtained by three-dimensional Monte Carlo based ray tracing simulations for various aspect ratios and convex geometries. With this framework we present a comprehensive analysis of the influence of the geometrical properties of high aspect ratio structures as well as of the particle sticking probability on the neutral particle flux. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
50. A methacryl ethyl-functionalized soluble polypyrrole: Synthesis, characterization, and potentiality in rapid fabrication of high-aspect-ratio pillar arrays.
- Author
-
Lv, Guowei, Zhang, Shihu, Wang, Guolong, Shao, Jinyou, Tian, Hongmiao, and Yu, Demei
- Subjects
- *
POLYPYRROLE , *ETHYL group , *POLYMERIZATION , *CHEMICAL synthesis , *NEWTONIAN fluids - Abstract
A methacryl ethyl-functionalized soluble polypyrrole was designed and prepared by chemical oxidative polymerization for rapid fabrication of high-aspect-ratio pillar arrays. The chemical structures of the pyrrole derivative and the corresponding polypyrrole were characterized by FTIR and 1 H NMR. The polypyrrole with a weight-average molecular weight (M w ) of 7376 exhibits good solubility in several organic solvents, favorable thin film-forming ability and two UV–Vis absorption peaks at 280 and 380 nm in tetrahydrofuran solution. The dilute chloroform solution of the polypyrrole is a Newtonian fluid with a low viscosity and shows a significant increase in the electrical conductivity with increasing the polypyrrole content. Moreover, an insulating photoresist can be transformed into a conductive photoresist by doping this polypyrrole. Electrowetting driven structure formation experiments have confirmed that the conductive photoresist can fulfill rapid fabrication of higher-aspect-ratio pillar arrays compared with the insulating photoresist. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.