158 results on '"Wafer-scale integration"'
Search Results
2. A Low-Temperature Nickel Silicide Process for Wafer Bonding and High-Density Interconnects
- Author
-
Melissa A. Smith, Paul Miller, Corey Stull, Eric Holihan, James C. McRae, Gianni Pinelli, Bradley Duncan, Livia M. Racz, and Donna-Ruth Yost
- Subjects
Interconnection ,Wafer-scale integration ,Materials science ,Silicon ,Wafer bonding ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Engineering physics ,Industrial and Manufacturing Engineering ,Die (integrated circuit) ,Electronic, Optical and Magnetic Materials ,law.invention ,CMOS ,chemistry ,law ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,Electrical and Electronic Engineering - Abstract
Wafer-scale heterogeneous integration provides a viable pathway for the development of highly capable microsystems. However, it remains challenging to integrate die-and wafer-level components with a high-density interconnects while minimizing the system volume and within the temperature restrictions imposed by integrated circuits. Advancements in CMOS have motivated the development of low-temperature and low-resistance metal–silicon alloys or silicides. Nickel silicide (NiSi) is a CMOS-compatible material that forms at temperatures and anneal times within the thermal budget of commercial CMOS die and can be implemented with a wide range of nickel and silicon thin-film processing methods. We describe here the development of a 3-D integration strategy utilizing NiSi formation to generate both mechanical bonding and electrical interconnection between wafers. Specifically, we show that our NiSi-based wafer-bonding process is effective below 400 °C, at very short anneal times (minutes), and with a variety of thin-film processing methods. This NiSi-based process offers a robust approach for creating heterogeneously integrated microsystems in a CMOS-compatible fashion.
- Published
- 2020
- Full Text
- View/download PDF
3. A Defect-Tolerant Reusable Network of DACs for Wafer-Scale Integration
- Author
-
Mohamad Sawan, Yvon Savaria, Gilbert Kowarzyk, Nicolas Laflamme-Mayer, and Yves Blaquiere
- Subjects
Wafer-scale integration ,Computer science ,Dynamic range ,Topology (electrical circuits) ,02 engineering and technology ,020202 computer hardware & architecture ,law.invention ,Capacitor ,Hardware and Architecture ,law ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Calibration ,Electrical and Electronic Engineering ,Software ,Voltage reference - Abstract
A novel defect-tolerant network of digital-to-analog converters (DACs) is presented in this paper. The architecture of this converter employs a single 2.5-V voltage reference and an unbalanced buffering technique to achieve a wide voltage range that extends from 864 mV to 2.538 V with an 8-bit resolution. The proposed converter incorporates a defect-tolerant architecture and is extremely compact, utilizing a per-bit silicon area of less than 350 $\mu \text{m}^{2}$ . Although such very small area allows for embedding in dense configurable fabrics (field-programmable gate arrays) and wafer-scale integration, the overall performance is not sacrificed as reported measurements show a signal-to-noise ratio of 51.87 dB and a spurious-free dynamic range of 42.31 dB, at 10 MS/s providing 7.6 effective bits. Moreover, the proposed architecture benefits from dynamic calibration capabilities, as any converter output can be finely adjusted over a range of 25 mV. This proposed DAC is also extensively reused in the same defect-tolerant network for a successive approximation register-analog-to-digital converter, as well as for a configurable voltage reference.
- Published
- 2019
- Full Text
- View/download PDF
4. Self-Assembly and Electrostatic Carrier Technology for Via-Last TSV Formation Using Transfer Stacking-Based Chip-to-Wafer 3-D Integration
- Author
-
Hisashi Kino, Mitsumasa Koyanagi, Mariappan Murugesan, H. Hashiguchi, Tetsu Tanaka, Jicheol Bea, Hiroyuki Hashimoto, and Takafumi Fukushima
- Subjects
010302 applied physics ,Materials science ,Wafer-scale integration ,business.industry ,Stacking ,02 engineering and technology ,Adhesion ,021001 nanoscience & nanotechnology ,Electrostatics ,Chip ,01 natural sciences ,Clamping ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,parasitic diseases ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,business - Abstract
A self-assembly and electrostatic (SAE) carrier technology is developed for high-precision and high-throughput chip-to-wafer 3-D integration. In this paper, water surface tension-driven chip assembly is combined with electrostatic adhesion to keep high alignment accuracies obtained by the capillary self-assembly process. The self-assembled chips can be firmly fixed on an SAE carrier wafer by electrostatic adhesion, and then, the chips can be readily detached from the carrier by discharging and transferred to another carrier with a temporary adhesive. This paper describes the impact of chip clamping forces and electrical reliability of the SAE carrier on chips to be 3-D stacked in chip-to-wafer configuration. Through-Si via formation is demonstrated by using a via-last 3-D integration process based on the SAE carrier. The demonstration shows that the SAE carrier maintains higher chip alignment accuracies than does conventional carrier without electrostatic adhesion.
- Published
- 2017
- Full Text
- View/download PDF
5. Warpage and Thermal Characterization of Fan-Out Wafer-Level Packaging
- Author
-
Kim Hwee Tan, Zhang Li, Sze Pei Lim, Koh Sau Wee, Thomas Taylor, Yu-Hua Chen, Dewen Tian, Cao Xi, Cheng-Ta Ko, Eric Kuah, Ji Hao, Yiu Ming Cheung, John H. Lau, Margie Li, Jiang Ran, Wu Kai, Henry Yang, Qingxiang Yong, Ming Li, Nelson Fan, Ning Cheng Lee, and Rozalia Beica
- Subjects
010302 applied physics ,Engineering drawing ,Materials science ,Wafer-scale integration ,Fabrication ,Thermal resistance ,Compression molding ,02 engineering and technology ,Molding (process) ,Epoxy ,021001 nanoscience & nanotechnology ,Chip ,01 natural sciences ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,visual_art ,0103 physical sciences ,visual_art.visual_art_medium ,Electrical and Electronic Engineering ,Composite material ,0210 nano-technology ,Wafer-level packaging - Abstract
In this paper, the warpage and thermal performances of fan-out wafer-level packaging (FOWLP) are investigated. Emphasis is placed on the characterization of the effects of FOWLP important parameters, such as chip size, chip thickness, package/chip area ratio, epoxy molding compound (EMC), chip EMC cap, reconstituted carrier material and thickness, and die-attach film, on the warpage after postmold cure and backgrinding of the EMC. The simulation results are compared to the experimental measurements. Also, the thermal performance (junction-to-ambient thermal resistance) of FOWLP with various chip thicknesses is characterized. Finally, some FOWLP important parameters affecting the warpage and thermal performances are recommended.
- Published
- 2017
- Full Text
- View/download PDF
6. Vacuum Gap Microstrip Microwave Resonators for 2.5-D Integration in Quantum Computing
- Author
-
Katlin Schroeder, Rupert Lewis, and Michael David Henry
- Subjects
Materials science ,Wafer-scale integration ,business.industry ,02 engineering and technology ,Microwave engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Microstrip ,Electronic, Optical and Magnetic Materials ,Computer Science::Hardware Architecture ,Resonator ,Computer Science::Emerging Technologies ,Qubit ,0103 physical sciences ,Optoelectronics ,Dielectric loss ,Electrical and Electronic Engineering ,010306 general physics ,0210 nano-technology ,business ,Quantum computer ,Electronic circuit - Abstract
Vacuum gap λ/2 microwave resonators are demonstrated as a route toward higher integration in superconducting qubit circuits. The resonators are fabricated from pieces on two silicon chips bonded together with an In-Sb bond. Measurements of the devices yield resonant frequencies in good agreement with simulations. Creating low loss circuits in this geometry is also discussed.
- Published
- 2017
- Full Text
- View/download PDF
7. The Hot Chips Renaissance
- Author
-
Ian Bratt and Christos Kozyrakis
- Subjects
ComputingMilieux_GENERAL ,Engineering drawing ,Wafer-scale integration ,GeneralLiterature_INTRODUCTORYANDSURVEY ,Hardware and Architecture ,Computer Applications ,Computer science ,Special section ,The Renaissance ,Electrical and Electronic Engineering ,Software ,Hot Chips - Abstract
The articles in this special section report on the technologies and events that were part of the 31st Annual Hot Chips symposium was held at Stanford University in August 2019.
- Published
- 2020
- Full Text
- View/download PDF
8. A Novel Flexible 3-D Heterogeneous Integration Scheme Using Electroless Plating on Chips With Advanced Technology Node
- Author
-
Yu-Chen Hu, Kuan-Neng Chen, Nien-Shyang Chang, Chun-Pin Lin, Chi-Shi Chen, Yao-Jen Chang, and Ming-Hwa Sheu
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Integration platform ,Stacking ,Hardware_PERFORMANCEANDRELIABILITY ,Chip ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,Embedded system ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Bumping ,Node (circuits) ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
A novel 3-D chip-level heterogeneous integration scheme for low cost and rapid pilot demonstration is proposed in this paper. The conventional Bumping fabrication is done at wafer level. However, due to the high cost of whole wafer, opting for chips with advanced technology node is a better alternative. Therefore, with the difficulties of the bumping process at chip level, 3-D heterogeneous integration by chip stacking faces challenges. This paper presents a novel heterogeneous integration platform by using electroless plating on chips and pillar bump on wafers before stacking. This integration platform can be applied to chip-to-chip or chip-to-wafer scheme when chips are fabricated from costly advanced technology node.
- Published
- 2015
- Full Text
- View/download PDF
9. High-Precision Wafer-Level Cu–Cu Bonding for 3-DICs
- Author
-
Isao Sugaya, Shigeto Izumi, Hosei Nakahira, Hidehiro Maeda, Toshimasa Shimoda, Masashi Okada, Kazuya Okamoto, and Mitsuishi Hajime
- Subjects
Wire bonding ,Fabrication ,Wafer-scale integration ,Materials science ,business.industry ,Overlay ,Thermocompression bonding ,Electronic, Optical and Magnetic Materials ,CMOS ,Anodic bonding ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
A high-precision Cu–Cu bonding system for 3-D ICs (3-DICs) fabrication adopting a new precision alignment methodology is proposed. A new pressure profile control system is applied in the thermocompression bonding process. Experimental results show that the alignment capability is 250 nm or better, with similar overlay accuracy ( $\vert $ average $\vert + 3\sigma )$ for permanent bonding. These developments are expected to contribute to the fabrication of future 3-DICs.
- Published
- 2015
- Full Text
- View/download PDF
10. Reconfigured-Wafer-to-Wafer 3-D Integration Using Parallel Self-Assembly of Chips With Cu–SnAg Microbumps and a Nonconductive Film
- Author
-
Takafumi Fukushima, Mitsumasa Koyanagi, Mariappan Murugesan, C. Nagai, Tetsu Tanaka, Kang-Wook Lee, Jichoel Bea, H. Hashiguchi, and Hisashi Kino
- Subjects
Wafer-scale integration ,Materials science ,Wafer bonding ,business.industry ,Wafer backgrinding ,Electronic, Optical and Magnetic Materials ,Embedded Wafer Level Ball Grid Array ,Die preparation ,Electronic engineering ,Optoelectronics ,Wafer testing ,Wafer ,Electrical and Electronic Engineering ,business ,Flip chip - Abstract
A new 3-D integration concept based on reconfigured wafer-to-wafer stacking is proposed. Using reconfigured wafer-to-wafer 3-D integration, many known-good dies (KGDs) can be simultaneously and precisely self-assembled by water surface tension onto a carrier wafer, which is called a reconfigured wafer. In addition, the KGDs on the reconfigured wafer can be transferred and bonded to another target wafer at the wafer level. The alignment accuracy is within 1 μm when 3 × 3-, 5 × 5-, 4 × 9,- or 10 × 10- mm2 chips are employed. To 3-D stack many KGDs in a batch process, we developed and employed a self-assembly multichip bonder. KGDs with 20- μm-pitch Cu-SnAg microbumps covered with a nonconductive film as a preapplied underfill material on their top surface were self-assembled right-side up, and then transferred to the corresponding target interposer wafer upside down. The resulting daisy chain with 500 Cu-SnAg microbumps exhibited ohmic contacts, and the resistance of ~ 40 mΩ/bump was sufficiently low for 3-D large-scale integration application.
- Published
- 2014
- Full Text
- View/download PDF
11. Configurable Input–Output Power Pad for Wafer-Scale Microelectronic Systems
- Author
-
Walder Andre, Olivier Valorge, Yves Blaquiere, Mohamad Sawan, and Nicolas Laflamme-Mayer
- Subjects
Input/output ,Engineering ,Wafer-scale integration ,business.industry ,Transistor ,Electrical engineering ,Topology (electrical circuits) ,Hardware_PERFORMANCEANDRELIABILITY ,Voltage regulator ,law.invention ,CMOS ,Hardware and Architecture ,law ,Load regulation ,Hardware_INTEGRATEDCIRCUITS ,Electrical and Electronic Engineering ,business ,Software ,Voltage - Abstract
We describe, in this paper, a new digital input-output power configurable PAD (CPAD) for a wafer-scale-based rapid prototyping platform for electronic systems. This wafer-scale platform includes a reconfigurable wafer-scale circuit that can interconnect any digital components manually deposited on its active alignment-insensitive surface. The whole platform is powered using a massive grid of embedded voltage regulators. Power is fed from the bottom side of the wafer using through silicon vias. The CPAD can be configured to provide CMOS standard voltages of 1.0, 1.5, 1.8, 2.0, 2.5, and 3.3 V using a single 3.3 V power supply. The digital I/O includes transistors sharing and is embedded within the regulation circuit by combining it with a turbo mode that insures high-speed operation. Fast load regulation is achieved with a 5.5-ns response time to a current step load for a maximum current of 110 mA per CPAD. The proposed circuit architecture benefits from a hierarchical arborescence topology where one master stage drives 16 CPADs with a very small quiescent current of 366 nA. The CPAD circuit and the master stage occupy a small area of 0.00847 and 0.00726 mm2, respectively, in CMOS 0.18-μm technology.
- Published
- 2013
- Full Text
- View/download PDF
12. Electronic and photonic integrated circuits for fast data center optical circuit switches
- Author
-
Luke Theogarajan, John E. Bowers, Greg Fish, Avantika Sohdi, Jon Roth, and Luis Chen
- Subjects
Circuit switching ,Wafer-scale integration ,Computer Networks and Communications ,business.industry ,Computer science ,Optical cross-connect ,Photonic integrated circuit ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Optical performance monitoring ,Discrete circuit ,Chip ,Optical switch ,Computer Science Applications ,Hardware_INTEGRATEDCIRCUITS ,Electrical and Electronic Engineering ,business - Abstract
This article surveys recent work in optical switching technologies and presents a fast optical circuit switch that intimately integrates the control electrics at the chip level using a novel wafer-scale heterogeneous integration technique.
- Published
- 2013
- Full Text
- View/download PDF
13. An All Optical Method for Fabrication Error Measurements in Integrated Photonic Circuits
- Author
-
Mattia Mancinelli, Paolo Bettotti, Massimo Borghi, Lorenzo Pavesi, and J-M Fedeli
- Subjects
Resonator ,Optical path ,Materials science ,Silicon photonics ,Optics ,Fabrication ,Wafer-scale integration ,business.industry ,Wafer ,Photonics ,business ,Atomic and Molecular Physics, and Optics ,Coupling coefficient of resonators - Abstract
We propose an optical method to quantify the level of fabrication imperfections in a Silicon On Insulator wafer. The method is based on the use of Side Coupled Integrated Spaced Sequence of Resonators (SCISSOR) as test devices. Fabrication induced fluctuations of the effective index and of the coupling coefficient are mapped by comparing different spectral responses of nominally identical samples taken from different dies in the wafer. Random variations of the resonator's optical path are quantified in terms of standard deviations of normally distribuited variables by finding a statistical correlation with the coupled resonator induced transparency (CRIT) phenomena. We found a strong correlation between CRIT and fabrication errors. This led us to design a SCISSOR based test structure that allows to quantify the degree of local structural imperfections in a fast, accurate and non invasive way. Performances, possible applications and limitations are investigated with the help of transfer matrix simulations.
- Published
- 2013
- Full Text
- View/download PDF
14. Comparison of FICDM and Wafer-Level CDM Test Methods
- Author
-
Nathan Jack and Elyse Rosenbaum
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Integrated circuit ,Electronic, Optical and Magnetic Materials ,law.invention ,CMOS ,law ,Charged-device model ,Electronic engineering ,Wafer ,Parasitic extraction ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality ,business ,Wafer-level packaging ,Simulation ,Voltage - Abstract
The on-chip stresses induced by various charged device model (CDM) test methods are compared at both the package and wafer levels. Test methods studied include field-induced CDM (FICDM), wafer-level CDM (WCDM2), capacitively coupled transmission-line pulsing (CC-TLP), and very fast TLP (VF-TLP). The generated stresses are compared on the basis of voltage monitor readings and integrated circuit (IC) functional failures. In general, core circuit failures induced by FICDM are replicated on the wafer level. Package-related parasitics can alter the externally measured FICDM current pulse relative to that delivered internal to the IC, causing miscorrelation with wafer-level testers.
- Published
- 2013
- Full Text
- View/download PDF
15. Differential Microstrip and Slot-Ring Antennas for Millimeter-Wave Silicon Systems
- Author
-
Yu-Chin Ou and Gabriel M. Rebeiz
- Subjects
Materials science ,Wafer-scale integration ,Silicon ,business.industry ,Bandwidth (signal processing) ,chemistry.chemical_element ,Microstrip ,Antenna efficiency ,Microstrip antenna ,CMOS ,chemistry ,Extremely high frequency ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
Differential on-chip microstrip and slot-ring antennas with a quartz superstrate are presented for wafer-scale silicon systems. The antennas are fed at the nonradiating edge, which is compatible with differential coupled-lines, and are built on a 0.13-μ m CMOS process with a layout that meets all the metal density rules. A high radiation efficiency is achieved using a 100- μm quartz superstrate placed on top of the silicon chip. Both antennas have a measured gain varies from about 2 to 3 dBi at 91-94 GHz, with a - 10-dB S11 bandwidth of 7-8 GHz and a simulated radiation efficiency of >;50%. The designs are compatible with single- and multielement transceivers, and with wafer-scale imaging systems and power-combining arrays.
- Published
- 2012
- Full Text
- View/download PDF
16. Silicon Crystal Growth and Wafer Technologies
- Author
-
M. R. Seacrist, G. Fisher, and R. W. Standley
- Subjects
Engineering ,Wafer-scale integration ,Silicon ,business.industry ,Silicon on insulator ,chemistry.chemical_element ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,Monocrystalline silicon ,chemistry ,Wafering ,Photovoltaics ,Hardware_INTEGRATEDCIRCUITS ,Microelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
Silicon substrates form the foundation of modern microelectronics. Whereas the first 50 years of silicon wafer technology were primarily driven by the microelectronics industry, applications in photovoltaics (PV) also promise to drive new advances in silicon wafer technology over the next ten years. In the first part, we review the historical development of semiconductor silicon wafer technology and highlight recent technical advances in bulk crystal growth and wafering technologies, including the development of silicon-on-insulator (SOI) technologies and ultrathin wafers. We then discuss technologies that could take us beyond the current capabilities of complementary metal-oxide-semiconductor (CMOS) devices. In the second part, we review silicon manufacturing for PV applications and some unique wafering technology challenges in that field. Finally, we summarize industry roadmaps and product needs highlighting key technical areas which promise to shape the future of silicon wafer technologies in the coming decades.
- Published
- 2012
- Full Text
- View/download PDF
17. Magnetic-Core and Air-Core Inductors on Silicon: A Performance Comparison up to 100 MHz
- Author
-
Ronan Meere, Saibal Roy, S.C. O'Mathuna, Santosh Kulkarni, Terence O'Donnell, and Ningning Wang
- Subjects
Wafer-scale integration ,Materials science ,business.industry ,Equivalent series inductance ,Inductor ,Electronic, Optical and Magnetic Materials ,Power (physics) ,Magnetic circuit ,Inductance ,Lamination (geology) ,Magnetic core ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
What is the future of integrated inductor design on silicon for power conversion applications at frequencies up to 100 MHz-is it magnetic-core or air-core inductors. This study presents measured results for two microfabricated inductors (magnetic core and air core), which have been designed to operate at 20 MHz and occupy a substrate area of less than 10 mm2. The inductor technology and design are briefly discussed. An optimized inductor design study is, then, presented. Both magnetic-core and air-core inductor designs are compared and evaluated, in terms of inductance and efficiency per unit area for frequencies up to 100 MHz. The design of the microinductors is discussed and an analytical design optimization program is used to model the devices for the maximum efficiency and inductance. The introduction of laminations with high-frequency core inductors will also be examined within the study. A 100 MHz magnetic-core inductor design with three laminations gives a 36 nH inductance, with 96.4% efficiency and an area of 3 mm2 . A comparable air-core design also gives a 36 nH inductance, with 93.45% efficiency and an area of just 2.6 mm2.
- Published
- 2011
- Full Text
- View/download PDF
18. On-Chip Slot-Ring and High-Gain Horn Antennas for Millimeter-Wave Wafer-Scale Silicon Systems
- Author
-
Gabriel M. Rebeiz and Yu-Chin Ou
- Subjects
Radiation ,Materials science ,Wafer-scale integration ,Silicon ,business.industry ,chemistry.chemical_element ,Condensed Matter Physics ,Microstrip ,Antenna efficiency ,law.invention ,Horn antenna ,chemistry ,law ,Optoelectronics ,Dipole antenna ,Electrical and Electronic Engineering ,Antenna gain ,business ,Ground plane - Abstract
This paper presents on-chip slot-ring and horn antennas for wafer-scale silicon systems. A high efficiency is achieved using a 100-μm quartz superstrate on top of the silicon chip, and a low-loss microstrip transformer using the silicon back-end metallization. A finite ground plane is also used to reduce the power coupled to the TEM mode. The slot-ring and 1-λ02 horn achieve a measured gain of 0-2 and 6-8 dBi at 90-96 GHz, respectively, and a radiation efficiency of ~50%. The horns achieve a high antenna gain without occupying a large area on the silicon wafer, thus resulting in a low-cost system. The designs are compatible with either single- or two-antenna transceivers, or with wafer-scale imaging systems and power-combining arrays.
- Published
- 2011
- Full Text
- View/download PDF
19. System-on-Wafer: 2-D and 3-D Technologies for Heterogeneous Systems
- Author
-
T Hilt, Jean-Charles Souriau, David Henry, H. Boutry, Jean Brun, Gilles Poupon, and N. Sillon
- Subjects
Wafer-scale integration ,Computer science ,business.industry ,Industrial and Manufacturing Engineering ,Manufacturing engineering ,Die (integrated circuit) ,Electronic, Optical and Magnetic Materials ,System in package ,Bumping ,System integration ,Wafer dicing ,Wafer ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
System integration, performance, cost and enhanced product functionality form the major driving forces behind contemporary innovations in packaging. The need for miniaturization has led to new architectures which combine a whole range of different technologies. The ultimate miniaturization goal is to incorporate all of the elements necessary to build the system in the same package. This approach of system-in-package faces two critical issues: the management of components from different sources and the cost of individual operations necessary to complete the package. Taking into account all the developments that have been made to date on wafer level packaging (WLP), it has been proposed to perform the packaging system at wafer level. Fully tested bare dice are integrated onto or into a wafer which can be pre-processed and post-processed using techniques such as micromachining, passive integration, plating of via and pad redistribution, bumping, dicing and testing. The principal objective of this paper is to present alternative technology for integrated dice coming from various foundries where design, die thickness and contact pad metallurgy are predefined. System integration at wafer level is presented and discussed in this paper. Different approaches, such as system-on-wafer (SoW) or rebuilding a wafer, are introduced and a technology status report is drawn up.
- Published
- 2011
- Full Text
- View/download PDF
20. Determination of Metal Contaminants Using Automated In-Situ Metrology in Semiconductor Cleaning Process
- Author
-
J S Lee, P. K. Jun, and Heung Bin Lim
- Subjects
Wafer-scale integration ,Materials science ,business.industry ,Semiconductor device fabrication ,Analytical chemistry ,chemistry.chemical_element ,Nanotechnology ,Resorcinol ,Metrology ,chemistry.chemical_compound ,Semiconductor ,Transition metal ,chemistry ,Aluminium ,Wafer ,Electrical and Electronic Engineering ,business ,Instrumentation - Abstract
This paper reports development of an in-situ metrology tool for monitoring metal contaminants existing in the semiconductor wafer cleaning process. Simultaneous detection of aluminum and transition metals at different wavelengths without significant interferences was made possible spectroscopically, using a mixture of 4-2- (pyridylazo) resorcinol and eriochrome cyanine red chelating reagents. This novel technology and apparatus designed for the fully automated in-situ metrology system enabled quantitative analysis of metal contaminants, even at sub-ppb concentrations. The metal monitoring technology is expected to be highly useful not only in semiconductor fabrication but also in several other industrial and academic fields.
- Published
- 2011
- Full Text
- View/download PDF
21. Wafer-Testing of Optoelectonic–Gigascale CMOS Integrated Circuits
- Author
-
James D. Meindl, Hiren D. Thacker, O O Ogunsola, and A V Muler
- Subjects
Microelectromechanical systems ,Wafer-scale integration ,Materials science ,business.industry ,Electrical engineering ,Substrate (electronics) ,Atomic and Molecular Physics, and Optics ,CMOS ,Interfacing ,Optoelectronics ,Wafer testing ,Electrical and Electronic Engineering ,Photonics ,Adaptive optics ,business - Abstract
Gigascale integration (GSI) chips with high bandwidth, integrated optoelectronics (OE), and photonic components are an emerging technology. In this paper, we present the prospects and opportunities for wafer-testing of chips with electrical and optical I/O interconnects. The issues and requirements of testing OE-GSI ICs during high-volume manufacturing are identified and discussed. Two probe substrate technologies based on microelectromechanical systems (MEMS) for simultaneously interfacing with a multitude of surface-normal optical I/Os and high-density electrical I/Os are detailed. The first probe substrate comprises vertically compliant probes for contacting electrical I/Os and grating-in-waveguide I/Os for optical probing. The second MEMS probe module uses microsockets and through-substrate interconnects to contact pillar-shaped electrical and optical I/Os and to redistribute signals, respectively.
- Published
- 2011
- Full Text
- View/download PDF
22. A Silicon Interposer With an Integrated ${\rm SrTiO}_{3}$ Thin Film Decoupling Capacitor and Through-Silicon Vias
- Author
-
Akinobu Shibuya, Akira Ouchi, and Koichi Takemura
- Subjects
Materials science ,Wafer-scale integration ,Silicon ,business.industry ,Wafer bonding ,Electrical engineering ,chemistry.chemical_element ,Decoupling capacitor ,Capacitance ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,Printed circuit board ,chemistry ,law ,Interposer ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
A silicon interposer with an integrated with SrTiO3 (STO) thin film capacitor that decreases switching noise in high-speed digital circuits has been developed, along with a process to fabricate it. The process for fabricating the capacitor was optimized to reduce the defect density. The identified optimal process conditions are sputter-depositing the STO at 400 °C and using Ru as a bottom electrode. An large-scale integration chip is stacked on the Si interposers using chip-to-wafer bonding, and through-silicon vias (TSVs) are then formed in the interposer. This stacking enables a 50 μm-thick Si interposer to be inserted between a chip and a printed wiring board (PWB). A maximum capacitance density of 2.5 F/cm2 was achieved for a 60-nm-thick STO capacitor in a 20 × 20 mm2 area with 9000 TSVs (50- diameter; 50- depth). The capacitance of slightly more than 1 F in interposer-chip stack samples with 1600 TSVs remained constant during a thermal testing on PWBs for up to 1000 cycles.
- Published
- 2010
- Full Text
- View/download PDF
23. Tapered Through-Silicon-Via Interconnects for Wafer-Level Packaging of Sensor Devices
- Author
-
Daniel Studzinski, Ulli Hansen, Kwong-Loon Yam, Kok-Kheong Looi, Dzafir Shariff, Ralph Wilke, Juergen Leib, Volker Seidemann, Ha-Duong Ngo, Kenneth Tan, Florian Bieck, Nathapong Suthiwongsunthorn, Michael Topper, and Publica
- Subjects
Interconnection ,Wafer-scale integration ,Materials science ,Through-silicon via ,business.industry ,Wafer bonding ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,law.invention ,CMOS ,Resist ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
Through-silicon-via (TSV) interconnects using the "via-last" approach are successfully applied for wafer-level packaging of complementary metal-oxide-semiconductor (CMOS) image sensors. Standard materials and processes are applied for redistribution on the backside of the devices, which is enabled by the use of plasma etched vias with tapered sidewalls. With this, high reliability for the packaged devices are achieved on component and board level. Based on the high uniformity for the via geometry in respect to the dimension of top opening, bottom opening, and sidewall angle, we discuss the coverage of those redistribution polymers and photo resists as the bases for high performance and high yield of the mature wafer-level packaging process for optical and M(O)EMS devices.
- Published
- 2010
- Full Text
- View/download PDF
24. Reliability Verification of Hermetic Package With Nanoliter Cavity for RF-Micro Device
- Author
-
Byung-Sung Kim, Byung-Gil Jeong, Chang-youl Moon, and Suk-Jin Ham
- Subjects
Microelectromechanical systems ,Wafer-scale integration ,Materials science ,business.industry ,Wafer bonding ,Electrical engineering ,Electronic packaging ,Mechanical engineering ,Electrical connection ,Package on package ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
With the advance of high-performance and small-size microelectromechanical systems (MEMS) devices, wafer-level packaging has gained increased attention over the past few years. Most MEMS packages must protect the often-fragile mechanical structures against the environment and provide the interface for the interaction with the next level in the packaging hierarchy. It is obvious that stable performance and high reliability are essential requirements of a packaged device. In this paper, a novel hermetic package, called the WL-?P, recently developed for radio-frequency (RF)-filter and RF-duplexer, will be reviewed in terms of its construction, fabrication process, and electrical/mechanical performance. The package consists of a device wafer for a MEMS device and a cap wafer that has a micromachined cavity and through-wafer vias for electrical connections. The cap and device wafers are bonded to each other through a closed square loop of gold/tin eutectic solder at the peripheral edge. The via-in-cavity structure is designed in the cap substrate, with vertical via holes fabricated and fully electroplated with copper. The detailed design and fabrication technology of this new type of hermetically sealed package are presented with process flow. The performance evaluation and reliability results of a hermetic package will also be presented. The developed wafer-level hermetic package technology is able to fulfill today's requirements for hermetic and cost-effective packaging of high-speed RF-MEMS applications.
- Published
- 2010
- Full Text
- View/download PDF
25. 100 GHz+ Gain-Bandwidth Differential Amplifiers in a Wafer Scale Heterogeneously Integrated Technology Using 250 nm InP DHBTs and 130 nm CMOS
- Author
-
Yakov Royter, Joseph F. Jensen, Tahir Hussain, James Chingwei Li, D.S. Matthews, Pamela R. Patterson, Daniel Zehnder, Donald A. Hitko, and K.R. Elliott
- Subjects
Wafer-scale integration ,Materials science ,business.industry ,Amplifier ,Buffer amplifier ,Electrical engineering ,Differential amplifier ,Slew rate ,Hardware_PERFORMANCEANDRELIABILITY ,chemistry.chemical_compound ,chemistry ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Indium phosphide ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
Differential amplifiers incorporating the advantages of both Si and III-V technologies have been fabricated in a wafer scale, heterogeneously integrated, process using both 250 nm InP DHBTs and 130 nm CMOS. These ICs demonstrated gain-bandwidth product of 40-130 GHz and low frequency gain > 45 dB . The use of InP DHBTs supports a > 6.9 V differential output swing and a slew rate > 4 times 104V/mus to be achieved with as low as 40 mW dissipated power. A novel on-chip buffer circuit is used to facilitate the on-wafer characterization of these amplifiers. To the authors' knowledge, this is the first demonstration of a high performance IC building block in a heterogeneously integrated process technology.
- Published
- 2009
- Full Text
- View/download PDF
26. A New Isolation Technology for Automotive Power-Integrated-Circuit Applications
- Author
-
Jingmeng Sun, Guizhen Yan, Johnny K. O. Sin, F.X.C. Jiang, Lingpeng Guan, and Zhibin Xiong
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Automotive industry ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Electronic, Optical and Magnetic Materials ,law.invention ,CMOS ,Hardware_GENERAL ,law ,Power electronics ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Power semiconductor device ,Isolation (database systems) ,Electrical and Electronic Engineering ,business - Abstract
In this paper, a new bulk silicon isolation structure with wafer-thick trenches is proposed for automotive (42 V) power-integrated-circuit applications. This technology provides the advantages of complete isolation with lower wafer cost and higher thermal-dissipation capability as compared with the silicon-on-insulator technology. Experimental results show that the new isolation structure can provide complete electrical isolation and with a 13% reduction in thermal resistance.
- Published
- 2009
- Full Text
- View/download PDF
27. Fabrication of Silicon Carriers With TSV Electrical Interconnections and Embedded Thermal Solutions for High Power 3-D Packages
- Author
-
N. Khan, G. Archit, Seung Wook Yoon, Aibin Yu, Vaidyanathan Kripesh, J.H. Lau, Kok Chuan Toh, and Damaruganath Pinjala
- Subjects
Interconnection ,Materials science ,Wafer-scale integration ,Fabrication ,Computer cooling ,Silicon ,Wafer bonding ,Hybrid silicon laser ,business.industry ,Heat transfer enhancement ,Electrical engineering ,chemistry.chemical_element ,Electronic, Optical and Magnetic Materials ,chemistry ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
This paper presents micro fabrication process and wafer-level integration of a silicon carrier, which consists of two Si chips that are bonded together with evaporated AuSn-solder. There are micro fins and channels fabricated in the Si chip and form the embedded cooling layer after bonding. The embedded cooling layer is connected with an inlet and an outlet to form a fluidic path for heat transfer enhancement. Besides, in the silicon carrier, there are through silicon vias (TSVs) with metal film on sidewall for electrical interconnection. Two or more carriers can then be stacked together with a silicon interposer in between to make up of a stacked cooling module for high power heat dissipation. The advantage of this 3-D stacking method is that it provides a method of simultaneously realizing electrical interconnection and fluidic path and it can extract heat from the constraints of 3-D silicon module chips to surface without external liquid circulation.
- Published
- 2009
- Full Text
- View/download PDF
28. A 5-Gbps Test System for Wafer-Level Packaged Devices
- Author
-
A.M. Majid and David Keezer
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Electrical engineering ,System testing ,Signal ,Industrial and Manufacturing Engineering ,Automatic test equipment ,Built-in self-test ,Wafer testing ,Electrical and Electronic Engineering ,business ,Wafer-level packaging ,Jitter - Abstract
This paper describes an economical approach to high-speed testing of wafer-level packaged logic devices. The solution assumes that the devices have built-in self-test features, thereby reducing the complexity of external test instrumentation required. A stand-alone miniature tester is connected to the top of a wafer probe card, transmitting and receiving multiple high-speed (2-5 Gbps) signals. To keep costs low, the tester uses off-the-shelf components. However, its performance in some respects exceeds that of traditional automated test equipment (ATE). Measurements demonstrate the tester producing 5-Gbps signals with a plusmn 18-ps timing accuracy. The generated signals exhibit low jitter ( ~ 35 ps) and have a rise time of about 60 ps. Similar performance is also shown for signal capture.
- Published
- 2009
- Full Text
- View/download PDF
29. Investigation of the Trace Line Failure Mechanism and Design of Flexible Wafer Level Packaging
- Author
-
Ming-Chih Yew, Dyi-Chung Hu, Wen-Kun Yang, Chang-An Yuan, Kuo-Ning Chiang, and Chung-Jung Wu
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Electronic packaging ,Mechanical engineering ,Temperature cycling ,Circuit reliability ,Reliability (semiconductor) ,Chip-scale package ,Electronic engineering ,Integrated circuit packaging ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
In this study, a flexible wafer level packaging (FWLP) having the capability of redistributing the electrical circuit is proposed to resolve the problem of assembling a fine-pitched chip to a coarse-pitched substrate. In the FWLP, the diced chip is picked and back-sided attached to the flexible substrate after the functional testing. Besides, the solder on rubber (SOR) design is applied to expand the chip area and also to provide a buffer layer for the deformation energy from the coefficient of thermal expansion (CTE) mismatch. The design concepts as well as the fabrication processes for the fan-out type FWLP would be described herein. In our previous research, it was shown the reliability of FWLP could easily pass 1300 cycles thermal cycling test (JEDEC condition G, -40degC ~ 125degC). Besides, the failure mode was moved from solders to copper trace lines. Therefore, the packaging level reliability of the copper trace structure of FWLP is investigated and discussed in this research. The 25 factorial designs with the analysis of variance (ANOVA) are conducted to obtain the sensitivity information of the packaging. Through the reliability assessment and constrained optimization technology, the fan-out FWLP could be further improved within the target range of design parameters. The FWLP structure proposed in this research can be redesigned to have the double-sided I/O capability, and will have a high potential for various advanced packaging applications.
- Published
- 2009
- Full Text
- View/download PDF
30. A Low-Temperature SU-8 Based Wafer-Level Hermetic Packaging for MEMS Devices
- Author
-
I. Zine-El-Abidine and M. Okoniewski
- Subjects
Microelectromechanical systems ,Materials science ,Wafer-scale integration ,business.industry ,Wafer bonding ,Electronic packaging ,Resist ,Chip-scale package ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Wafer-level packaging ,Microfabrication - Abstract
We have developed a novel all SU-8 packaging method for microelectromechanical system (MEMS) devices. The process is low temperature and low cost and it allows for nonhermetic as well as hermetic packaging. The nonhermetic package can be applied to sensors. The process flow is based on a partial and a full exposure of SU-8 negative resist using two masks. The underexposed region results in cross-linking of only a surface layer, while the underlying resist is not cross-linked and can be removed using SU-8 developer. By depositing a second SU-8 layer a sealed package for MEMS devices can be achieved. The packaging method provides any pattern and cavity clearance since it is solely based on lithography steps. The concept of the packaging method is introduced in this paper and then its practical validity demonstrated using simulation and characterization results.
- Published
- 2009
- Full Text
- View/download PDF
31. Fabrication and Testing of a Wafer-Level Vacuum Package for MEMS Device
- Author
-
C.S. Premachandran, Ranganathan Nagarajan, S. Liw, and Ser Choong Chong
- Subjects
Wafer-scale integration ,Materials science ,Wafer bonding ,business.industry ,Electrical engineering ,Mechanical engineering ,Vacuum packing ,Reliability (semiconductor) ,Chip-scale package ,Hardware_INTEGRATEDCIRCUITS ,Wafer testing ,Wafer ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
A wafer-level vacuum package with getters deposited on the cap wafer is developed for an accelerometer device. An accelerometer wafer and cap wafer is bonded together in a vacuum of 1 mtorr and is characterized using a micro-electro-mechanical systems (MEMS) motion analyzer (MMA). Vacuum inside the package is measured indirectly by measuring the Q-factor response of the accelerometer structure inside the package. The obtained results indicated that there is variation from the center to the edge of the wafer. This may be due to difference in the outgassing of the package. Different reliability tests on the wafer-level package showed the package is robust to the reliability conditions. A progressive test on the Q-factor for different cycles of reliability test proved that there is no shift in the measurement value. A 3-D wafer-level package for accelerometer device is also developed to meet the requirements of vacuum packaging. Hermeticity and CV test showed no degradation in the device performance when subjected to reliability tests.
- Published
- 2009
- Full Text
- View/download PDF
32. Electrical/Mechanical Modeling, Reliability Assessment, and Fabrication of FlexConnects: A MEMS-Based Compliant Chip-to-Substrate Interconnect
- Author
-
Suresh K. Sitaraman and K. Kacker
- Subjects
Microelectromechanical systems ,Interconnection ,Wafer-scale integration ,Materials science ,Mechanical Engineering ,Chip ,law.invention ,law ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,System on a chip ,Parasitic extraction ,Electrical and Electronic Engineering ,Photolithography ,Wafer-level packaging - Abstract
Compliant free-standing structures can be used as chip-to-substrate interconnects. Such ldquocompliant interconnectsrdquo are a potential solution to the requirements that will be imposed on chip-to-substrate interconnects over the next decade. However, cost of implementation and electrical performance limit compliant interconnects. In our previous work, we have proposed a new compliant interconnect technology called FlexConnect to address these concerns with compliant interconnects. An innovative cost-effective MEMS-based fabrication process is used to fabricate these compliant interconnects. Sequential lithography and electroplating processes with up to two masking steps are utilized. Utilizing the proposed fabrication process, in this paper, FlexConnects are realized at a 100-mum pitch. High-frequency modeling of the electrical parasitics of the interconnect is performed. Through finite-element-based models, the advantage of using multiple electrical paths as part of the interconnect design is shown from a thermomechanical reliability perspective. Finally, taking advantage of the MEMS-based photolithographic fabrication process, a heterogeneous combination of FlexConnects and column interconnects is proposed. This approach is shown to be an additional avenue to attain improved electrical performance without compromising mechanical performance.
- Published
- 2009
- Full Text
- View/download PDF
33. Wafer-Level Defect Screening for 'Big-D/Small-A' Mixed-Signal SoCs
- Author
-
Sule Ozev, Krishnendu Chakrabarty, S. Bahukudumbi, and Vikram Iyengar
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Electronic packaging ,System testing ,Mixed-signal integrated circuit ,Hardware and Architecture ,Embedded system ,Wafer testing ,System on a chip ,Electronics ,Electrical and Electronic Engineering ,business ,Wafer-level packaging ,Software - Abstract
Product cost is a key driver in the consumer electronics market, which is characterized by low profit margins and the use of a variety of ldquobig-D/small-Ardquo mixed-signal system-on-chip (SoC) designs. Packaging cost has recently emerged as a major contributor to the product cost for such SoCs. Wafer-level testing can be used to screen defective dies, thereby reducing packaging cost. We propose a new correlation-based signature analysis technique that is especially suitable for mixed-signal test at the wafer-level using low-cost digital testers. The proposed method overcomes the limitations of measurement inaccuracies at the wafer-level. A generic cost model is used to evaluate the effectiveness of wafer-level testing of analog and digital cores in a mixed-signal SoC, and to study its impact on test escapes, yield loss, and packaging costs. Experimental results are presented for a typical mixed-signal ldquobig-D/small-Ardquo SoC, which contains a large section of flattened digital logic and several large mixed-signal cores.
- Published
- 2009
- Full Text
- View/download PDF
34. Integration of High Aspect Ratio Tapered Silicon Via for Silicon Carrier Fabrication
- Author
-
Liao Ebin, Linn Linn, Vaidyanathan Kripesh, N. Ranganathan, O.K. Navas, Wen-Sheng Lee, and N. Balasubramanian
- Subjects
Materials science ,Wafer-scale integration ,Silicon ,Hybrid silicon laser ,business.industry ,chemistry.chemical_element ,Silicon on insulator ,Integrated circuit ,law.invention ,chemistry ,law ,Chemical-mechanical planarization ,Electronic engineering ,Optoelectronics ,Wafer ,LOCOS ,Electrical and Electronic Engineering ,business - Abstract
This paper provides a detailed overview of silicon carrier-based packaging for 3-D system in packaging application. In this work the various critical process modules that play a vital role in the integration and fabrication of silicon carrier with high aspect ratio tapered through-silicon interconnections have been explained and discussed with experimental data. A method of fabricating tapered deep silicon via in a three-step approach has been developed and characterized which controls via depth, sidewall profile, and surface roughness effectively. A low-temperature dielectric deposition process is also developed that has minimum residual stress and good dielectric coverage on the via sidewall. The above processes were then integrated with back-end processes like seed metallization, copper electroplating, chemical mechanical polishing, and wafer thinning to realize a fully integrated silicon carrier fabrication technology. The silicon carriers were finally assembled and tested for through silicon interconnection.
- Published
- 2009
- Full Text
- View/download PDF
35. Design and Fabrication of FlexConnects: A Cost-Effective Implementation of Compliant Chip-to-Substrate Interconnects
- Author
-
Suresh K. Sitaraman and K. Kacker
- Subjects
Inductance ,Interconnection ,Wafer-scale integration ,Fabrication ,Computer science ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Electrical and Electronic Engineering ,Chip ,Wafer-level packaging ,Lithography ,Flip chip ,Electronic, Optical and Magnetic Materials - Abstract
Compliant free-standing structures can be used as chip-to-substrate interconnects. Such ldquocompliant interconnectsrdquo are a potential solution to the requirements that will be imposed on chip-to-substrate interconnects over the next decade. However, cost of implementation and electrical performance limit compliant interconnects. This paper presents two concepts to address this. First, an innovative, cost-effective fabrication process to realize compliant interconnects is proposed. Sequential lithography and electroplating processes with up to two masking steps are utilized. Such an approach potentially reduces the cost of fabricating compliant interconnects. Second, an innovative approach to designing compliant interconnects is proposed to improve electrical performance without compromising on mechanical reliability. The new approach uses parallel/multiple electrical paths as part of the compliant interconnect design. These concepts are integrated to realize a new compliant interconnect technology called FlexConnects. Utilizing the proposed fabrication process parallel-path FlexConnects are realized at a 100-mum pitch. Numerical simulations are used to demonstrate that the electrical performance of parallel-path FlexConnects (self inductance of ~ 37 pH) is enhanced without compromising on mechanical performance, validating the use of parallel/multiple electrical paths in the interconnect design.
- Published
- 2008
- Full Text
- View/download PDF
36. A Novel Wafer-Yield PDF Model and Verification With 90–180-nm SOC Chips
- Author
-
K. Tsunokuni, T. Tsutsui, H. Nunogami, M. Tsunozaki, Hiroyuki Masuda, and A. Uchida
- Subjects
Production line ,Engineering ,Wafer-scale integration ,Yield (engineering) ,business.industry ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Edge (geometry) ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,law.invention ,Nanoelectronics ,law ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,System on a chip ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
In this paper, we describe a new wafer yield distribution model, which agrees well with experiment using fabricated products with various process technologies. To investigate physical reasoning of the proposed model, we firstly measure effective defect density of chips regarding to spatial dependency in a wafer. It is clarified that the defect density near wafer edge is a couple of times larger than that at the rest of wafer area. Note that the increase of defect at wafer edge causes a significant yield loss in production process lines.
- Published
- 2008
- Full Text
- View/download PDF
37. Impact of Production Control and System Factors in Semiconductor Wafer Fabrication
- Author
-
Stanley B. Gershwin, Chao Qi, and Appa Iyer Sivakumar
- Subjects
Engineering ,Wafer-scale integration ,Semiconductor device fabrication ,business.industry ,Control (management) ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Standard deviation ,Manufacturing engineering ,Electronic, Optical and Magnetic Materials ,Reliability engineering ,Wafer fabrication ,Production control ,Control system ,Electrical and Electronic Engineering ,business ,Throughput (business) - Abstract
This paper validates a proposed job release methodology and experimentally investigates the impact of production control methodologies and system factors on wafer fab performance in terms of average cycle time, standard deviation of cycle time, average lateness, WIP inventory and fab output by simulating a wafer fab of Chartered Semiconductor Manufacturing Ltd (Chartered) and statistically analyzing the experimental results using t-test and ANOVA. A full factorial design of experiment is conducted to evaluate the performance of three job release methodologies, three dispatching rules and three greedy levels of batching policy under different system environmental settings differentiated by fab output level and machine unreliability level. Based on the experimental results, the proposed job release methodology WIPLOAD control (WIPLCtrl) appears to be very efficient to be able to potentially improve all the considered performance measures simultaneously. The advantage of WIPLCtrl is robust to the change of system environmental conditions. In contrast, the improvement brought by a dispatching rule on a certain performance measure might cost the deterioration of other performances. Considering the relative impact on the fab performance, job release control appears to be the most important production control factor in comparison with dispatching and batching policy, especially when the system is operating on a high output level and/or with a high system variability level caused by machine unreliability.
- Published
- 2008
- Full Text
- View/download PDF
38. Fabrication and Characterization of a Wafer-Level MEMS Vacuum Package With Vertical Feedthroughs
- Author
-
Khalil Najafi, Junseok Chae, and J.M. Giachino
- Subjects
Microelectromechanical systems ,Wafer-scale integration ,Materials science ,business.industry ,Mechanical Engineering ,Electrical engineering ,law.invention ,Pressure measurement ,Pirani gauge ,Getter ,law ,Torr ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
This paper reports a MEMS vacuum package with vertical feedthroughs formed in a glass substrate all at the wafer level. This approach satisfies requirements for MEMS vacuum packages, including small size, vacuum/hermetic capability, sealed and low parasitic feedthroughs, wafer-level processing, compatibility with most MEMS processes, and low cost. It also enables flip-chip solder attachment to a PC board. The package has an integrated micro-Pirani gauge on a glass substrate for in situ monitoring, a silicon cap, and vertical feedthroughs through the glass. The integrated Pirani gauge has 0.6 milli-torr resolution at 0.1 torr and 0.2 torr resolution at 100 torr. Using the Pirani gauge, the fabricated vacuum package is characterized. The package has maintained ~33 torr base pressure with plusmn1.5 torr uncertainty for more than four months without a getter. The long-term measured pressure uncertainty is from the measurement setup and environment, and can be improved using a getter inside the package. [2007-0160]
- Published
- 2008
- Full Text
- View/download PDF
39. MEMS Switched Tunable Inductors
- Author
-
Farrokh Ayazi, Paul A. Kohl, and Mina Rais-Zadeh
- Subjects
chemistry.chemical_classification ,Microelectromechanical systems ,Wafer-scale integration ,Materials science ,Silicon ,business.industry ,Mechanical Engineering ,Electrical engineering ,chemistry.chemical_element ,Polymer ,Inductor ,Inductance ,Surface micromachining ,chemistry ,Q factor ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
This paper presents a new implementation of integrated tunable inductors using mutual inductances activated by micromechanical switches. To achieve a large tuning range and a high quality factor, silver was used as the structural material, and silicon was selectively removed from the backside of the substrate. Using this method, a maximum tuning of 47% at 6 GHz is achieved for a 1.1 nH silver inductor fabricated on a low-loss polymer membrane. The effect of the quality factor on the tuning characteristic of the inductor is investigated by comparing the measured result of identical inductors fabricated on various substrates. To maintain the quality factor of the silver inductor, the device was encapsulated using a low-cost wafer-level polymer packaging technique.
- Published
- 2008
- Full Text
- View/download PDF
40. Selective Transfer Technology for Microdevice Distribution
- Author
-
Ute Drechsler, D. Jubin, Roland Guerre, and Michel Despont
- Subjects
Microelectromechanical systems ,education.field_of_study ,Laser ablation ,Materials science ,Cantilever ,Wafer-scale integration ,Mechanical Engineering ,Population ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,law.invention ,CMOS ,law ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,Electrical and Electronic Engineering ,education - Abstract
We have developed a generic cost-efficient CMOS-compatible heterogeneous device integration method at wafer-scale level. This method enables the distribution of devices from one to numerous wafers using selective transfer technology. We have applied this method for the distribution of atomic force microscopy (AFM) cantilevers and successfully demonstrated the population of multiple wafers from one source wafer. The distribution function has been designed such as to populate 42 wafers with only one source wafer. This CMOS back-end-of-the-line compatible method is particularly suitable for microelectromechanical systems and integrated circuits. Electrical interconnects are compatible with this technology. We present the concept, the selective transfer method, including a laser ablation technique used for the transfer, as well as the process and results of the application for AFM cantilever distribution.
- Published
- 2008
- Full Text
- View/download PDF
41. Electrophoretic Materials in Wafer Level Packages for Solid State Imagers to Meet Automotive Reliability Standards
- Author
-
D. Ovrutsky, I. Hetch, E. Axelrod, C. Rosenstein, and G. Humpston
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Electronic packaging ,Automotive industry ,Manufacturing engineering ,Automotive engineering ,Reliability (semiconductor) ,Chip-scale package ,ComputerSystemsOrganization_SPECIAL-PURPOSEANDAPPLICATION-BASEDSYSTEMS ,Wafer ,Integrated circuit packaging ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
Solid state image sensors are increasingly being adopted for automotive applications. The harsh operating environment and requirement for long life means reliability standards for automotive components far exceed those of the normal sphere of use, namely mobile telephones. Electrophoretic paints are widely used in the automotive and construction industries to endow fabricated metal products with corrosion resistant, decorative, coatings. This paper describes the first known use of these materials for semiconductor packaging. The application is a wafer level packaging process to encapsulate image sensors, which will comfortably surpass the automotive standard for reliability.
- Published
- 2008
- Full Text
- View/download PDF
42. Decomposition and Analysis of Process Variability Using Constrained Principal Component Analysis
- Author
-
Jean-Olivier Plouchart, Choongyeun Cho, Sangyeun Cho, Robert Trzcinski, Daihyun Lim, Daeik Daniel Kim, and Jonghae Kim
- Subjects
Engineering ,Wafer-scale integration ,business.industry ,Statistical model ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,Process variation ,Total variation ,CMOS ,Principal component analysis ,Electronic engineering ,Decomposition method (queueing theory) ,Systematic process ,Electrical and Electronic Engineering ,business ,Biological system - Abstract
Process-induced variability has become a predominant limiter of performance and yield of IC products especially in a deep submicron technology. However, it is difficult to accurately model systematic process variability due to the complicated and interrelated nature of physical mechanisms of variation. In this paper, a simple and practical method is presented to decompose process variability using statistics of the measurements from manufacturing inline test structures without assuming any underlying model for process variation. The decomposition method utilizes a variant of principal component analysis and is able to reveal systematic variation signatures existing on a die-to-die and wafer-to-wafer scale individually. Experimental results show that the most dominant die-to-die variation and wafer-to-wafer variation represent 31% and 25% of the total variance of a large set of manufacturing inline parameters in 65-nm SOI CMOS technology. The process variation in RF circuit performance is also analyzed and shown to contain 66% of process variation obtained with manufacturing inline parameters.
- Published
- 2008
- Full Text
- View/download PDF
43. The Silicon Dioxide Solution
- Author
-
Michael Riordan
- Subjects
Production line ,Engineering ,Wafer-scale integration ,Silicon ,business.industry ,Transistor ,Electrical engineering ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,law.invention ,chemistry ,law ,Hardware_INTEGRATEDCIRCUITS ,Microelectronics ,Planar process ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
The planar process also made it easy to interconnect neighboring transistors on a wafer, paving the way to another Fairchild achievement: the first commercial integrated circuits. As other companies realized the great advantages of planar technology and began adopting it on their own production lines, Hoerni's elegant idea helped to establish Silicon Valley as the microelectronics epicenter of the world.
- Published
- 2007
- Full Text
- View/download PDF
44. Critical Dimension Uniformity Via Real-Time Photoresist Thickness Control
- Author
-
Arthur Tay, Weng Khuen Ho, Xuechuan Shan, Jun Fu, Ming Chen, and Haijing Lu
- Subjects
Materials science ,Wafer-scale integration ,business.industry ,Photoresistor ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Hardware_PERFORMANCEANDRELIABILITY ,Photoresist ,Condensed Matter Physics ,Wafer backgrinding ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,Process control ,Wafer ,Electrical and Electronic Engineering ,Photolithography ,business ,Critical dimension - Abstract
In this paper, we present the experimental results on wafer-to-wafer and within-wafer critical dimension (CD) control. It is known that photoresist thickness affects CD. In this paper, we control photoresist thickness to control CD. As opposed to run-to-run control where information from the previous wafer or batch is used for control of the current wafer or batch, the approach here is real time and makes use of the current wafer information for control of the current wafer CD. The experiments demonstrate that such an approach can reduce CD nonuniformity wafer to wafer and within wafer.
- Published
- 2007
- Full Text
- View/download PDF
45. Modeling of Wafer Topography's Effect on Chemical–Mechanical Polishing Process
- Author
-
Lixiao Wu
- Subjects
Wafer-scale integration ,Materials science ,business.industry ,Phase (waves) ,Polishing ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,LTI system theory ,symbols.namesake ,Optics ,Contact mechanics ,Fourier transform ,Chemical-mechanical planarization ,Hardware_INTEGRATEDCIRCUITS ,symbols ,Wafer ,Electrical and Electronic Engineering ,Composite material ,business - Abstract
Topography on the wafer surface has a great effect on chemical-mechanical polishing (CMP). In this paper, the performance of a CMP system is demonstrated to be approximately an linear time invariant (LTI) system. The effects of the low-frequency components and high-frequency components in the wafer topography on CMP process are investigated. The magnitude spectra and phase spectra of the system are obtained. A model for the effect of more complex topography is established based on Fourier transform. The influences of down force and pad stiffness on the performance of CMP with the same topography are also studied.
- Published
- 2007
- Full Text
- View/download PDF
46. A Novel Wafer-Level Hermetic Packaging for MEMS Devices
- Author
-
Chingfu Tsou, Hung-Chung Li, and Hsing-Cheng Chang
- Subjects
Microelectromechanical systems ,Materials science ,Wafer-scale integration ,Wafer bonding ,business.industry ,Electrical engineering ,Electronic packaging ,Mechanical engineering ,Reflow soldering ,Chip-scale package ,Vacuum chamber ,Electrical and Electronic Engineering ,business ,Microfabrication - Abstract
Some emerging microelectromechanical systems (MEMS) devices such as high-performance inertial sensors and high-speed actuators must be operated in a high vacuum and in order to create this vacuum environment, specific packaging is required. To satisfy this demand, this paper presents a novel method for hermetic and near-vacuum packaging of MEMS devices. We use wafer-level bonding technology to combine with vacuum packaging, simultaneously. For this packaging solution, the wafers with air-guided micro-through-holes were placed on a custom-built design housed in a vacuum chamber maintained at a low-pressure environment of sub-10 mtorr. Packaging structure is then sealed by solder ball reflow process with the lower heating temperature of 300degC to fill up micro-through-hole. Experimental results shown the hermetical packaging technique using solder sealing is adapted to the wafer-level microfabrication process for MEMS devices and can achieve better yield and performance. Thus, this technique is very useful for many applications with high performance and low packaging cost can be obtained due to wafer-level processing.
- Published
- 2007
- Full Text
- View/download PDF
47. Efficient Simulation-Based Composition of Scheduling Policies by Integrating Ordinal Optimization With Design of Experiment
- Author
-
Shi-Chung Chang, Bo-Wei Hsieh, and Chun-Hung Chen
- Subjects
Mathematical optimization ,Engineering ,Wafer-scale integration ,Speedup ,business.industry ,Computation ,Design of experiments ,System identification ,Scheduling (production processes) ,Reliability engineering ,Ordinal optimization ,Control and Systems Engineering ,Electrical and Electronic Engineering ,business ,Wafer-level packaging - Abstract
Semiconductor wafer fab operations are characterized by complex and reentrant production processes over many heterogeneous machine groups with stringent performance requirements. Efficient composition of good scheduling policies from combinatorial options of wafer release and machine dispatching rules has posed a significant challenge to competitive fab operations. In this paper, we design a fast simulation-based methodology by an innovative integration of ordinal optimization (OO) and design of experiments (DOEs) to efficiently select a good scheduling policy for fab operations. Instead of finding the exact performance among scheduling policies, our approach compares their relative orders of performance to a specified level of confidence. Our new approach consists of three stages: performance estimation model construction using DOE, policy option screening process, and final simulation evaluation with intelligent computing budget allocation. The exponential convergence of OO is integrated into all the three stages to significantly improve computational efficiency. Simulation results of applications to scheduling wafer fabrications not only screen out good scheduling policies but also provide insights about how factors such as wafer release and the dispatching of each machine group may affect production cycle times and smoothness under a reentrant process flow. Most of the OO-based DOE simulations require 2-3 orders of magnitude less computation time than those of a traditional approach. Such a high speedup enables decision makers to explore much larger problems. Note to Practitioners - This paper designs a fast simulation-based methodology to compose a good scheduling policy from various dispatching rules of fab operations. The methodology innovatively applies DOE to estimate performance of dispatching rule combinations (policies) over various machines groups in a fab, screens out good enough policy options by using OO over the performance estimation, and allocates computation time intelligently to simulate potentially good options. Our study shows that OO-based DOE simulations require 2-3 orders of magnitude less computation time than those of a traditional approach. The high speedup enables fab managers to identify good scheduling policies from the many combinations of wafer release and dispatching rules.
- Published
- 2007
- Full Text
- View/download PDF
48. Wafer-Level Process for Single-Use Buckling-Film Microliter-Range Pumps
- Author
-
Chretien Julien, Patrick Griss, Ruifeng Yue, Göran Stemme, and Björn Samel
- Subjects
Wafer-scale integration ,Materials science ,Polydimethylsiloxane ,business.industry ,Mechanical Engineering ,Microfluidics ,Nanotechnology ,chemistry.chemical_compound ,chemistry ,Unimorph ,Optoelectronics ,Wafer ,Fluidics ,Electrical and Electronic Engineering ,business ,Wafer-level packaging ,Hydraulic pump - Abstract
In this paper, we present the development of disposable single-use microfluidic pumps entirely based on a straightforward wafer-level fabrication scheme, which allows for precise integrated active dosing in the microliter range. To accomplish stroke-lengths needed for microliter-range applications, we utilize a new method of bending of a unimorph-composite-actuator film. The unimorph composite actuator consists of a temperature-sensitive silicone elastomer composite, i.e., polydimethylsiloxane, with incorporated expandable microspheres. The fabricated micropumps successfully demonstrated precise liquid-volume control, both at low and high flow rates, and show a standard deviation of 6.7% for consecutive pump experiments. Moreover, the method of fluorescent thermometry was used to measure the thermal load on liquid volumes dispensed with the micropumps. The liquid temperature reaches a maximum of 50degC during the operation. The presented fully integrated single-use micropumps are electrically controllable, do not require external means for liquid actuation, are made of low-cost materials only, and might potentially be used in drug-delivery applications.
- Published
- 2007
- Full Text
- View/download PDF
49. Low-Temperature Polymer-Based Three-Dimensional Silicon Integration
- Author
-
Sandip Tiwari, S.K. Kim, and Lei Xue
- Subjects
Materials science ,Wafer-scale integration ,Wafer bonding ,business.industry ,Silicon on insulator ,Low-k dielectric ,Integrated circuit ,Electronic, Optical and Magnetic Materials ,law.invention ,Micrometre ,Transplantation ,law ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
We describe a low-temperature polymer-based 3D integration technique for wafer-scale transplantation of micrometer thick circuit and device layers onto another host wafer. The maximum temperature of this approach is 340 oC. It incorporates a low-k semiconductor compatible dielectric bonding media, employs tools that are readily available within a fabrication environment, and is very simple to implement. Another unique characteristic of the approach is the simultaneous separation of the transplanting layer from the donor assembly with the bonding to the host assembly. Alignment registration of several micrometers between device layers is demonstrated. Electrical results of 3D inverter circuit along with demonstration of four-device-layer 3D integrated stack are presented.
- Published
- 2007
- Full Text
- View/download PDF
50. Polyimide Spacers for Flip-Chip Optical MEMS
- Author
-
Victor A. Lifton, V.M. Lubecke, and Flavio Pardo
- Subjects
Surface-mount technology ,Microelectromechanical systems ,Wafer-scale integration ,Materials science ,Wafer bonding ,business.industry ,Mechanical Engineering ,Chip ,Optical switch ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,System on a chip ,Electrical and Electronic Engineering ,business ,Flip chip - Abstract
Multichip integration provides an attractive means to overcome space limitations for large-port-count optical microelectromechanical systems (MEMS) routing systems by allowing actuation and control wiring to be fabricated separately on one chip and then attached beneath a second chip that is populated with a densely packed mirror array. In such systems, vertical as well as horizontal chip alignment is critical when a large but very uniform separation must be maintained across the extent of the array. A technique for creating a structure that simultaneously provides accurate large-gap spacing and acts as a chip-bonding agent is presented here. Specialized processing of an 80- mum thick photoimaged polyimide structure for bonding mirror and electrode chips for a 1296-mirror array is described, along with measurements of height uniformity within 1% and structure characterization demonstrating suitability for production and long-term stability. The process parameters and simplicity of the technique make it suitable for a wide range of applications where MEMS must be integrated with electronic control circuitry. [2006-0042].
- Published
- 2007
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.