Search

Your search keyword '"*DIGITAL signal processing"' showing total 463 results

Search Constraints

Start Over You searched for: Descriptor "*DIGITAL signal processing" Remove constraint Descriptor: "*DIGITAL signal processing" Topic field programmable gate arrays Remove constraint Topic: field programmable gate arrays
463 results on '"*DIGITAL signal processing"'

Search Results

1. Design of efficient binary multiplier architecture using hybrid compressor with FPGA implementation.

2. Operation of a Ramsey-CPT microcell atomic clock with driving current-based power modulation of a VCSEL.

3. Design and FPGA realization of incremental fuzzy controller for stirred tank heater.

4. Low-Complexity Square-Root Unscented Kalman Filter Design Methodology.

5. A Multi-Channel Borehole Strain Measurement and Acquisition System Based on FPGA.

6. Wideband Array Signal Processing with Real-Time Adaptive Interference Mitigation.

7. Performance of Active-Quenching SPAD Array Based on the Tri-State Gates of FPGA and Packaged with Bare Chip Stacking.

8. A New Recursive Trigonometric Technique for FPGA-Design Implementation.

9. Hardware-efficient and accurately frequency offset compensation based on feedback structure and polar coordinates processing.

10. A new approach for pulse amplitude measurement using Lagrange's interpolation for radiation or particle detectors.

11. Unidad aritmética de punto flotante: diseño e implementación con portabilidad.

12. A real-time phase processing system for phase sensitive optical time domain reflectometer.

13. Implementación en FPGA del procesador para un sistema de antenas con monopulso.

14. Fused Architecture for Dense and Sparse Matrix Processing in TensorFlow Lite.

15. Frequency Domain Multiplexing for Microwave Kinetic Inductance Detectors: Comparing the Xilinx ZCU111 RFSoC with the New 2x2 RFSoC Board.

16. Yin-Yang: Programming Abstractions for Cross-Domain Multi-Acceleration.

17. Exploring energy efficiency of LSTM accelerators: A parameterized architecture design for embedded FPGAs.

18. Resource-aware Montgomery modular multiplication optimization for digital signal processing.

19. RF direct sampling and processing electronics for SHINE cavity BPM system.

20. An Efficient Classification of Hyperspectral Remotely Sensed Data Using Support Vector Machine.

21. A new digital beam position and phase measurement implementation based on a field programmable gate array for the high intensity heavy-ion accelerator iLinac.

22. Low-Cost Area-Efficient FPGA-Based Multi-Functional ECDSA/EdDSA.

23. A Real-Time GNSS-R System for Monitoring Sea Surface Wind Speed and Significant Wave Height.

24. FPGAs in SDRs for Radar, EW and MilCom Applications.

25. Toward Full-Stack Acceleration of Deep Convolutional Neural Networks on FPGAs.

26. Design and performance analysis of high throughput and low latency double precision floating point division on FPGA.

27. A Computationally Efficient Harmonic Extraction Algorithm for Grid Applications.

28. NTT Architecture for a Linux-Ready RISC-V Fully-Homomorphic Encryption Accelerator.

29. Design a Hybrid FPGA Architecture for Visible Digital Image Watermarking in Spatial and Frequency Domain.

30. Decimation of Delta-Sigma-Modulated Signals Using a Low-Cost Microcontroller.

31. Open Circuit Switch Fault Detection in Flying Capacitor and Cascaded H-Bridge Multilevel Converters.

32. Hardware implementation for hybrid active NPC converters using FPGA-based dual pulse width modulation.

33. Submodule Capacitance Monitoring Strategy for Phase-Shifted Carrier Pulsewidth-Modulation-Based Modular Multilevel Converters.

34. A Low Area FPGA Implementation of Reversible Gate Encryption with Heterogeneous Key Generation.

35. Real-Time Electromagnetic Transient Simulation of Multi-Terminal HVDC–AC Grids Based on GPU.

36. Mössbauer Spectrometer With Advanced Modulation of Gamma Ray Energy Utilizing Real-Time Industrial Computer.

37. A Methodology for Power Quantities Calculation Applied to an FPGA-Based Smart-Energy Meter.

38. A Real-Time Digital Receiver for Correlation Measurements in Atomic Systems.

39. Hardware chip performance analysis of different FFT architecture.

40. The Quench Detection System Based on Programmable FPGA and Its Application at IMP.

41. The development of dynamically reconfigurable devices of digital signal processing based on field programmable gate array.

42. An Efficient Fixed-Point Multiplier Based on CORDIC Algorithm.

43. Implementation of a Novel, Fast and Efficient Image De-Hazing Algorithm on Embedded Hardware Platforms.

44. Area-Optimized Accurate and Approximate Softcore Signed Multiplier Architectures.

45. Development of the digital multichannel analyzer for liquid scintillation detection.

46. WinoNN: Optimizing FPGA-Based Convolutional Neural Network Accelerators Using Sparse Winograd Algorithm.

47. Real-time signal processing in field programmable gate array based digital gamma-ray spectrometer.

48. A comprehensive review on accuracy in ultrasonic flow measurement using reconfigurable systems and deep learning approaches.

49. A Learning Framework for n-Bit Quantized Neural Networks Toward FPGAs.

50. Long-Horizon Finite-Control-Set Model Predictive Control With Nonrecursive Sphere Decoding on an FPGA.

Catalog

Books, media, physical & digital resources