Search

Your search keyword '"Critical dimension"' showing total 1,110 results

Search Constraints

Start Over You searched for: Descriptor "Critical dimension" Remove constraint Descriptor: "Critical dimension" Topic lithography Remove constraint Topic: lithography
1,110 results on '"Critical dimension"'

Search Results

1. Dimension and process effects on the mechanical stability of ultra-small HSQ nanopillars.

2. An effective lithography training module adapting semianalytical calculation approaches.

3. Research on critical dimensions variation based on improved optical lithography imaging model.

5. Informational Lithography Approach Based on Source and Mask Optimization

6. Understanding the Significance of Local Variability in Defect-Aware Process Windows

7. 50‐2: Invited Paper: Why Does the Road to High Volume Production of Micro‐LED Displays Pass Through the Semiconductors Industry?

8. Progress in EUV resist screening by interference lithography for high-NA lithography

9. Line-Edge Roughness from Extreme Ultraviolet Lithography to Fin-Field-Effect-Transistor: Computational Study

10. Impact of mask corner rounding on pitch 40 nm contact hole variability

11. Study on modeling of resist surface charge effect on mask blanks with charge dissipation layer in electron beam mask writers

12. Study of high-transmission PSM for lithographic performance and defect control

13. Model based mask process correction for EUV Mask

14. Self-aligned double patterning process for sub-15nm nanoimprint template fabrication

15. Line edge roughness reduction for EUV self-aligned double patterning by surface modification on spin-on-carbon and tone inversion technique

16. Line edge roughness (LER) reduction strategies for EUV self-aligned double patterning (SADP)

17. Screening of 193i and EUV lithography process options for STT-MRAM orthogonal array MTJ pillars

18. Patterning critical dimension control for advanced logic nodes.

19. Model-Based Non-Destructive Investigation Methods in Semiconductor Industry.

20. Impact of source pupil shapes on process windows in EUV lithography.

21. Hardware-corroborated Variability-Aware SRAM Methodology.

22. Contour metrology accuracy assessment using TMU analysis

23. Integration of sub 50 nm features based on EVG SmartNIL for 8-inch substrates

24. The application of a new stochastic search algorithm 'Adam' in inverse lithography technology (ILT) in critical recording head fabrication process

25. Chemistry working for lithography: the Marangoni-effect-based single layer for enhanced planarization

26. Multidimensional process optimization of a negative e-beam photoresist for silicon-waveguide manufacturing

27. Optimizing interferences of DUV lithography on SOI substrates for the rapid fabrication of sub-wavelength features

28. Statistical parameter evaluation for swing curves for the 1.2 μm and 1.8 μm resist thickness in CMOS photolithography process technology.

29. Cross Section and Critical Dimension Metrology in Dense High Aspect Ratio Patterns with CD-SAXS.

30. Resist-Free Directed Self-Assembly Chemo-Epitaxy Approach for Line/Space Patterning

31. Real-time dose control for electron-beam lithography

32. Pattern Roughness Analyses in Advanced Lithography: Power Spectral Density and Autocorrelation

33. The impact of lenses aberration on CD and position for low kl lithography

34. Patterning solutions for NTD contact hole levels in advanced DRAM nodes

35. Alternative EUVL resist processes for stochastic defect reduction

36. Maskless Lithography Optimized for Heterogeneous and Chiplet Integration

37. 0.33 NA EUV systems for High Volume Manufacturing

38. Characterizing Variation in EUV Contact Hole Lithography

39. Repeatability of Nanoimprint Lithography Monitor Through Line Roughness Extraction

40. Improved Duplicate Photomask Matching using AIMS™ Metrology for 14nm and smaller

41. Metal Trench Critical Dimension and Overlay Minor Variation Monitoring Method with Voltage Contrast Inspection

42. The Topography Effect on the Lithography Patterning Control for Implatation Layers

43. Effective Lithography Leveling Improvement was Achieved by Retaining Wafer Back-Surface Nitride During a Novel SMT Nitride Remove Process

44. Effects of Electron Beam on Photo Resist Shrinkage and Critical Dimension in SEM Measurement

45. Silk as a biodegradable resist for field-emission scanning probe lithography

46. Advances in High Performance RDL Technologies for Enabling IO Density of 500 IOs/mm/layer and 8-μm IO Pitch Using Low-k Dielectrics

47. Process Window Enhancement of Via Holes for Fine Pitch RDL by Design Optimization

48. Etching of sub-10 nm half-pitch high chi block copolymers for directed self-assembly (DSA) application

49. Measuring local CD uniformity in EUV vias with scatterometry and machine learning

50. Strategies for aggressive scaling of EUV multi-patterning to sub-20 nm features

Catalog

Books, media, physical & digital resources