28 results on '"Christoph Hohle"'
Search Results
2. Patterning and imaging with electrons: assessing multi-beam SEM for e-beam structured CMOS samples
- Author
-
Tomasz Garbowski, Matthias Rudolph, Christoph Hohle, Friedhelm Dr. Panteleit, Xaver Thrun, Gregor Dellemann, Katja Steidel, Manuela Gutsch, Dirk Zeidler, and Elke Reich
- Subjects
Materials science ,business.industry ,Physics::Optics ,02 engineering and technology ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,021001 nanoscience & nanotechnology ,01 natural sciences ,Focused ion beam ,Computer Science::Other ,010309 optics ,Condensed Matter::Materials Science ,Optics ,0103 physical sciences ,Electron beam processing ,Physics::Accelerator Physics ,Optoelectronics ,X-ray lithography ,Stencil lithography ,Electron beam-induced deposition ,0210 nano-technology ,business ,Electron-beam lithography ,Next-generation lithography ,Maskless lithography - Abstract
Electron optics can assist in the fabrication of semiconductor devices in many challenges that arise from the ongoing decrease of structure size. Examples are augmenting optical lithography by electron beam direct write strategies and high-throughput imaging of patterned structures with multiple beam electron microscopes. We use multiple beam electron microscopy to image semiconductor wafers processed by electron beam lithography.
- Published
- 2016
- Full Text
- View/download PDF
3. Determination of proximity effect parameters by means of CD-linearity in sub 100 nm electron beam lithography
- Author
-
Christoph Hohle, Lukas M. Eng, K.-H. Choi, Philipp Jaschinsky, Johannes Kretz, and M. Hauptmann
- Subjects
Point spread function ,Physics ,Scattering ,business.industry ,Linearity ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optics ,Proximity effect (audio) ,Line (geometry) ,Range (statistics) ,Node (circuits) ,Electrical and Electronic Engineering ,business ,Electron-beam lithography - Abstract
Along with the introduction of the 32nm technology node in the next years, the methods for correcting the proximity effect face certain limitations of measurement performance and the underlying point spread function based models themselves. To extend these methods to future technology nodes, they have to rely on more generalized coherences between nominal and measured feature sizes than just the absolute measurement values. In this work, a method is introduced to determine the forward scattering range and backward scattering ratio by printing isolated lines with various line widths and pre-assigned variable exposure doses. The line widths are then measured using standard inline scanning electron microscopy and correlated to their nominal values. This is done in terms of linearity to find the best match between the input parameters of the methodology and the intrinsic values of the resist-substrate system. A comparison between simulated and experimental results conclude that significant line width nonlinearities will occur, when relying on conventional methodologies especially for feature sizes below 40nm.
- Published
- 2009
- Full Text
- View/download PDF
4. Determination of best focus and optimum dose for variable shaped e-beam systems by applying the isofocal dose method
- Author
-
Monika Boettcher, Lutz Bettin, Holger Sailer, Mathias Irmscher, Tarek Lutz, Johannes Kretz, Karl-Heinz Kliem, Kang-Hoon Choi, Katja Keil, Christoph Hohle, Peter Hahmann, and Bernd Schnabel
- Subjects
Materials science ,business.industry ,Resolution (electron density) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optics ,Resist ,Electron optics ,Cathode ray ,Electron beam processing ,Node (circuits) ,Process window ,Electrical and Electronic Engineering ,business ,Electron-beam lithography - Abstract
Electron beam direct write (EBDW) provides high resolution for device and technology development. A new variable shaped beam system with improved electron optics was introduced, which features the capability for the 32nm node. Because of the limited resolution of commercially available chemically amplified resists at this node, it is important to determine a stable and optimum resist process window. To compare a process window under different premises, a universally applicable and low error-prone method is needed. The isofocal dose method is investigated with regard to these properties for its use in EBDW. Experiments were performed on 50kV variable shaped electron beam direct writers using the new electron-optical column SB3050 DW (Vistec Electron Beam GmbH). Exposures are performed at different sites in Dresden (Fraunhofer CNT/Qimonda Dresden), Jena (Vistec) and Stuttgart (IMS Chips); also patterns are exposed on different layer stacks at one site. The strong need for a process window can be fulfilled by the isofocal dose method, which will be shown by contour plots.
- Published
- 2008
- Full Text
- View/download PDF
5. CD control of direct versus complementary exposure for shaped beam writers and its correlation to the local registration error
- Author
-
Tarek Lutz, Christoph Hohle, C. Arndt, M. Tesauro, M. T. Bootsmann, Johannes Kretz, K.-H. Choi, and F. Thrum
- Subjects
Physics ,business.industry ,Condensed Matter Physics ,Measure (mathematics) ,Atomic and Molecular Physics, and Optics ,Shaped beam ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Correlation ,Optics ,Resist ,Direct exposure ,Line (geometry) ,Electrical and Electronic Engineering ,business - Abstract
When using electron beam direct write for patterning, resist selection (positive or negative) plays an important role. This is because writing time for shaped beam machines is proportional to the mean density of exposed shapes. There is an optimum with respect to writing time when using either the direct exposure or the complementary exposure with reversed resist tonality. Switching from positive to negative resist or vice versa has an impact on writing time. In this paper, we derive the fundamental differences on CD accuracy when using direct or complementary exposure, which is given by the local registration error. Additionally, a simple method is developed to measure this local registration error by simple CD SEM measurement of 1:1 line/space patterns.
- Published
- 2007
- Full Text
- View/download PDF
6. Effective corner rounding correction in the data preparation for electron beam lithography
- Author
-
Thiago Figueiro, Michael Kaiser, Christoph Hohle, Clyde Browning, Kang-Hoon Choi, and Patrick Schiavone
- Subjects
Engineering ,Optics ,Software ,Resist ,business.industry ,Rounding ,Process (computing) ,Cathode ray ,Node (circuits) ,business ,Lithography ,Electron-beam lithography - Abstract
A new correction technique has been developed not only to reduce the corner rounding, but also to restrain the building up of shot counts that is able to increase the exposure time in electron beam (e-beam) lithography. It is able to prove the developed corner rounding correction technique is useful with high accuracies throughout the simulation of several different types of correction in the data preparation software, Inscale® from Aselta Nanographics, and its comparisons with exposure images. The developed one is helpful to suppress the accumulation of shot counts either. Furthermore, it shows the general limit of corner rounding correction in a conventional variable shaped beam exposure tool with current resist process. Firstly, we are demonstrating the new method for correcting the corner rounding that either can avoid the extension of exposure shot counts, called writing time. Secondly, this study reveals the current bounds of corner rounding correction, especially the lithography employing the shaped beam tool. Finally, we propose the criteria of data preparation for the corner rounding in e-beam lithography, specifically upcoming 18nm technology node and practical applications.
- Published
- 2014
- Full Text
- View/download PDF
7. Metasurfaces for colour printing
- Author
-
Juan Jose Miret, Pedro J. Rodríguez-Cantó, Juan P. Martínez-Pastor, Guillermo Muñoz-Matutano, Rafael Abargues, David Pastor, Carlos J. Zapata-Rodríguez, Michael Kaiser, and Christoph Hohle
- Subjects
Flexibility (engineering) ,Materials science ,Pixel ,Optical diffraction ,business.industry ,Nanoimprint lithography ,law.invention ,Resonator ,Optics ,law ,Limit (music) ,White light ,Particle ,Optoelectronics ,business - Abstract
We present a theoretical analysis and experimental evidences of metasurfaces based on particle resonators that achieve bright-field colour prints. We created pixels that support individual colours, miniaturized and juxtaposed at the optical diffraction limit. Different strategies are followed to offer the flexibility of using both transmitting and epi (reflective) white light sources. We discuss their potential applications in large-volume colour printing via nanoimprint lithography.
- Published
- 2014
- Full Text
- View/download PDF
8. Influence of the Charge Transport Characteristics on the Holographic Response of Organic Photorefractive Materials
- Author
-
Christoph Hohle, Stephan J. Zilker, Andre Leopold, Peter Strohriegl, Uwe Hofmann, and M. Grasruck
- Subjects
Millisecond ,Electron mobility ,business.industry ,Chemistry ,Holography ,Response time ,Charge (physics) ,Photoelectric effect ,Condensed Matter Physics ,Organic photorefractive materials ,law.invention ,Optics ,law ,Optoelectronics ,Charge carrier ,business - Abstract
Organic photorefractive materials have attracted a lot of interest recently. Their optical response times of a few milliseconds, however, are not yet adequate for the desired commercial applications. We present an investigation on the correlation between the optical response time and photoelectric quantities, such as the hole mobility and the dispersivity of charge carrier transport.
- Published
- 2001
- Full Text
- View/download PDF
9. Correlation between Dispersivity of Charge Transport and Holographic Response Time in an Organic Photorefractive Glass
- Author
-
M. Grasruck, Peter Strohriegl, Uwe Hofmann, Christoph Hohle, Stefan Schloter, Andreas Schreiber, Stephan J. Zilker, Andre Leopold, and and D. Haarer
- Subjects
Materials science ,Molar mass ,business.industry ,High-refractive-index polymer ,Photoconductivity ,Holography ,Photorefractive effect ,Grating ,Photoelectric effect ,Surfaces, Coatings and Films ,law.invention ,Optics ,law ,Materials Chemistry ,Optoelectronics ,Physical and Theoretical Chemistry ,business ,Beam (structure) - Abstract
We report on photoelectric and holographic investigations of an organic photorefractive material based on a low molar mass glass with both photoconductive and nonlinear optical properties. By implementing a suitable plasticizer we obtained a composite system which shows extremely fast initial response times down to 450 μs at writing beam intensities of Iwrite = 10.8 W/cm2 and 2.5 ms at the canonical intensity of Iwrite = 1 W/cm2. Furthermore, high refractive index modulations up to Δn = 6.1 × 10-3, long lifetimes and high optical quality of the samples are observed. In comparison to a second similar composite system, which was plasticized by a more polar dopand, we demonstrate the crucial role of this functional constituent on the photoelectric properties. Time-of-flight measurements show a major impact of the plasticizer on the dispersivity of charge-carrier transport. Subsequently the buildup and decay dynamics of the photorefractive grating are substantially affected. Holographic time-of-flight measure...
- Published
- 2000
- Full Text
- View/download PDF
10. Dispersive hole transport in organic photorefractive glasses
- Author
-
Uwe Hofmann, Christoph Hohle, Stephan J. Zilker, Andreas Schreiber, M. Grasruck, Peter Strohriegl, Andre Leopold, Stefan Schloter, and Dietrich Haarer
- Subjects
chemistry.chemical_classification ,Optics ,Materials science ,chemistry ,business.industry ,Polymer ,Photorefractive effect ,business - Published
- 1999
- Full Text
- View/download PDF
11. Characterization of charge generation and transport in a photorefractive organic glass: comparison between conventional and holographic time-of-flight experiments
- Author
-
Dietrich Haarer, Andreas Schreiber, Uwe Hofmann, M. Grasruck, Andre Leopold, Christoph Hohle, Stefan Schloter, M. A. Kol'chenko, Peter Strohriegl, J. Wolff, and Stephan J. Zilker
- Subjects
Materials science ,business.industry ,Holography ,General Physics and Astronomy ,Charge (physics) ,Photorefractive effect ,Photoelectric effect ,law.invention ,Characterization (materials science) ,Charge generation ,Time of flight ,Optics ,law ,Optoelectronics ,Charge carrier ,Physical and Theoretical Chemistry ,business - Abstract
Photorefractive organic systems presently show much longer response times than their inorganic counterparts. The origin of this limitation is not yet fully understood. We present a detailed investigation of the photoelectric processes involved in the photorefractive effect, namely charge generation and charge transport. A comparison between conventional (TOF) and holographic time-of-flight (HTOF) experiments, which were used to determine the charge carrier mobility, is presented. The mobility determined by TOF is shown to depend on the sample thickness. The results show that charge carrier generation and transport are not the limiting factors for the cw-response time of holographic experiments on this system.
- Published
- 1999
- Full Text
- View/download PDF
12. Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography
- Author
-
Xaver Thrun, Thiago Figueiro, Douglas J. Guerrero, Johann W. Bartha, Christoph Hohle, Kang-Hoon Choi, Katja Steidel, and Norbert Hanisch
- Subjects
Materials science ,Optics ,Optical coating ,Resist ,Stack (abstract data type) ,business.industry ,Electron beam processing ,Optoelectronics ,Wafer ,Substrate (electronics) ,business ,Lithography ,Electron-beam lithography - Abstract
Resist processing for future technology nodes becomes more and more complex. The resist film thickness is getting thinner and hardmask concepts (trilayer) are needed for reproducible etch transfer into the stack. Additional layers between resist and substrate are influencing the electron scattering in e-beam lithography and may also improve sensitivity and resolution. In this study, bare silicon wafers with different assisting underlayers were processed in a 300 mm CMOS manufacturing environment and were exposed on a 50 keV VISTEC SB3050DW variable-shaped electron beam direct writer at Fraunhofer CNT. The underlayers are organic-inorganic hybrid coatings with different metal additives. The negative-tone resist was evaluated in terms of contrast, sensitivity, resolution and LWR/LER as a function of the stack. The interactions between resist and different assisting underlayers on e-beam direct writing will be investigated. These layers could be used to optimize the trade-off among resolution, LWR and sensitivity in future applications.
- Published
- 2013
- Full Text
- View/download PDF
13. Fast characterization of line-end shortening and application of novel correction algorithms in e-beam direct write
- Author
-
Ulf Weidenmueller, Martin Freitag, Manuela Gutsch, Christoph Hohle, Reinhard Galler, Michael Krüger, and Kang-Hoon Choi
- Subjects
Computer science ,business.industry ,Optics ,Semiconductor ,Resist ,Modulation ,Face (geometry) ,Line (geometry) ,Cathode ray ,Electron beam processing ,Electronic engineering ,Wafer ,State (computer science) ,business - Abstract
For the manufacturing of semiconductor technologies following the ITRS roadmap, we will face the nodes well below 32nm half pitch in the next 2~3 years. Despite being able to achieve the required resolution, which is now possible with electron beam direct write variable shaped beam (EBDW VSB) equipment and resists, it becomes critical to precisely reproduce dense line space patterns onto a wafer. This exposed pattern must meet the targets from the layout in both dimensions (horizontally and vertically). For instance, the end of a line must be printed in its entire length to allow a later placed contact to be able to land on it. Up to now, the control of printed patterns such as line ends is achieved by a proximity effect correction (PEC) which is mostly based on a dose modulation. This investigation of the line end shortening (LES) includes multiple novel approaches, also containing an additional geometrical correction, to push the limits of the available data preparation algorithms and the measurement. The designed LES test patterns, which aim to characterize the status of LES in a quick and easy way, were exposed and measured at Fraunhofer Center Nanoelectronic Technologies (CNT) using its state of the art electron beam direct writer and CD-SEM. Simulation and exposure results with the novel LES correction algorithms applied to the test pattern and a large production like pattern in the range of our target CDs in dense line space features smaller than 40nm will be shown.
- Published
- 2011
- Full Text
- View/download PDF
14. Fast characterization of line end shortening and application of novel correction algorithms in e-beam direct write
- Author
-
Christoph Hohle, Michael Krueger, Martin Freitag, Kang-Hoon Choi, Reinhard Galler, Manuela Gutsch, Ulf Weidenmueller, and Publica
- Subjects
Computer science ,business.industry ,Mechanical Engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Optics ,Resist ,Nanoelectronics ,Modulation ,Face (geometry) ,Line (geometry) ,Wafer ,Electrical and Electronic Engineering ,business ,Electron-beam lithography ,Beam (structure) - Abstract
For the manufacturing of semiconductor technologies following the ITRS roadmap, we will face nodes well below a 32-nm half pitch in the next 2 to 3 years. Despite being able to achieve the required resolution, which is now possible with electron beam direct-write variable-shaped beam equipment and resists, it becomes critical to precisely reproduce dense line space patterns onto a wafer. This exposed pattern must meet the targets from the layout in both dimensions (horizontally and vertically). For instance, the end of a line must be printed in its entire length to allow a contact to be placed later. Up to now, the control of printed patterns such as line ends was achieved by a proximity effect correction mostly based on a dose modulation. This investigation of line end shortening (LES) includes multiple novel approaches, and contains an additional geometrical correction to push the limits of the available data preparation algorithms and the measurement. The designed LES test patterns, which aim to characterize the status of LES in a quick and easy way, were exposed and measured at Fraunhofer Center Nanoelectronic Technologies using its state-of-the-art electron beam direct writer and CD-SEM. Simulation and exposure results with the novel LES correction algorithms applied to the test pattern and a large production-like pattern in the range of our targeted critical structure dimensions in dense line space features smaller than 40 nm will be shown.
- Published
- 2011
15. Conventional and reversed image printing in electron beam direct write lithography with proximity effect corrections based on dose and shape modification
- Author
-
Katja Keil, Martin Freitag, Philipp Jaschinsky, Christoph Hohle, Manuela Gutsch, and Kang-Hoon Choi
- Subjects
Point spread function ,Optics ,Proximity effect correction ,Materials science ,Resist ,Proximity effect (electron beam lithography) ,business.industry ,Cathode ray ,Surface finish ,business ,Lithography ,Dose Modification - Abstract
For shortening the writing time, especially in shaped Electron Beam Direct Writing (EBDW), it is crucial to reduce the number of shapes and the coverage of layout for exposure. The determination of conventional or reversed image printing according to the process integration is one of the concerns for time and cost-effective process in the EBDW. We have studied two different cases for the purpose above. First, the proximity effect correction (PEC) with dose modification applied on each tone of resists, positive and negative, for the printing of conventional and reversed images. The CDs that are obtained from the both printed images compared and are either with that from the simulations. Secondly, the two different types of PEC, dose and shape modification, applied to a conventional image using an identical point spread function (PSF). The line edge roughness (LER), line width roughness (LWR) and CDs in dose and shape corrected conventional image pattern have been measured and compared. The MGS/PROXECCO was used for all the preparation of exposure data mentioned above. In summary, we suggest the strategies of efficient PEC for the EBDW of contrasting images, propose the available method of PEC for the time-efficient EBDW, and for the further multiple EBDW developments.
- Published
- 2010
- Full Text
- View/download PDF
16. Checkerboard pattern for PSF parameter determination in electron beam lithography
- Author
-
Philipp Jaschinsky, Marc Hauptmann, Katja Keil, Kang-Hoon Choi, Manuela Gutsch, Christoph Hohle, and Martin Freitag
- Subjects
Point spread function ,Optics ,Optical proximity correction ,Proximity effect (electron beam lithography) ,business.industry ,Scattering ,Chemistry ,Electron ,business ,Electron scattering ,Electron-beam lithography ,Metrology - Abstract
In electron beam lithography, the electron scattering and the corresponding proximity effect highly influence the feature resolution. Especially for sub-100 nm features a compensation for this effect is needed. There are several methods of determination of the proximity parameters, which mostly are time-consuming and complex due to a need of an initial proximity effect correction and immense measurement effort. In this paper the checkerboard pattern is proposed to provide the opportunity for proximity parameter determination in a fast and easy manner without using a sophisticated CD-SEM metrology. The concept is illustrated by simulation and first experimental results are shown.
- Published
- 2010
- Full Text
- View/download PDF
17. PML2: the maskless multibeam solution for the 22nm node and beyond
- Author
-
W. Piller, J. T. Nogatch, T. Bejdak, Christoph Hohle, Jan Klikovits, Elmar Platzgummer, Christof Klein, A. Zepka, W. Klingler, F. Thrum, M. Witt, Johannes Kretz, V. Kolarik, Florian Letzkus, Hans Loeschner, W. Pilz, Mathias Irmscher, Jörg Butschke, Philipp Jaschinsky, and P. Dolezel
- Subjects
Optics ,business.industry ,Computer science ,Node (circuits) ,Wafer ,Projection (set theory) ,business ,Throughput (business) ,Lithography ,Computer hardware - Abstract
Projection Mask-Less Lithography (PML2) is a potentially cost-effective multi electron-beam solution for the 22 nm half-pitch node and beyond. PML2 is targeted on using hundreds of thousands of individually addressable electron-beams working in parallel, thereby pushing the potential throughput into the wafers per hour regime. With resolution potential of < 10 nm, PML2 is designed to meet the requirements of several upcoming tool generations.
- Published
- 2009
- Full Text
- View/download PDF
18. Fabrication of metrology test structures for future technology nodes using high-resolution variable-shaped e-beam direct write
- Author
-
Christoph Hohle, Arie Jeffrey Den Boef, Marc Hauptmann, Philipp Jaschinsky, Valeriano Ferreras Paz, Uwe Seifert, Johannes Kretz, Manfred Mört, Kang-Hoon Choi, Katja Keil, Laszlo Szikszai, and F. Thrum
- Subjects
Fabrication ,Materials science ,Optics ,Resist ,business.industry ,Surface finish ,business ,Critical dimension ,Throughput (business) ,Lithography ,Electron-beam lithography ,Metrology - Abstract
Electron beam direct write (EBDW) can be utilized for developing metrology methods for future technology nodes. Due to its advantage of high resolution and flexibility combined with suitable throughput capability, variable-shaped E-Beam lithography is the appropriate method to fabricate sub 40nm resist structures with accurately defined properties, such as critical dimension (CD), pitch, line edge roughness (LER) and line width roughness (LWR). In this study we present results of exposure experiments intended to serve as an important instrument for testing and fitting various metrology and defect density measurement methods for future technology nodes. We successfully fabricated sub 40nm gratings with varying CD, pitch, programmed defects and LER/LWR. First metrology measurements by means of optical scatterometry on these dense structures show that variation of the signal response is sufficient to detect sub 10nm fluctuations with a satisfying repeatability.
- Published
- 2009
- Full Text
- View/download PDF
19. Printing of sub-resolution shots in electron beam direct write with variable shaped beam machines
- Author
-
Christoph Hohle, Katja Keil, Kang-Hoon Choi, Johannes Kretz, and F. Thrum
- Subjects
Materials science ,Optics ,Resist ,business.industry ,Shot (filmmaking) ,Resolution (electron density) ,Line (geometry) ,Cathode ray ,Calibration ,business ,Lithography ,Electron-beam lithography - Abstract
The resolution of a variable shaped beam writer is typically given for the standard geometries like isolated line, isolated space, and dense (1:1) line/space pattern. It is related to the imaging power of both the tool itself as well as the resist process. In this paper we concentrate on small shots with dimensions smaller than the resolution limit, butting to a larger shot. We show experimentally that for a line resolution of 40 nm the resolution for butting sub resolution shots can be as small as 20 nm.
- Published
- 2008
- Full Text
- View/download PDF
20. Gate edge roughness in electron beam direct write and its influence to device characteristics
- Author
-
Johannes Kretz, Roy Zimmermann, M. Tesauro, Kang-Hoon Choi, F. Thrum, Katja Keil, Christoph Hohle, Rok Dittrich, Matthias Goldbach, and Thomas Marschner
- Subjects
Materials science ,business.industry ,Transistor ,Semiconductor device ,Surface finish ,Integrated circuit ,Edge (geometry) ,law.invention ,Metrology ,Optics ,law ,business ,Lithography ,Dram - Abstract
Line edge roughness (LER) and line width roughness (LWR) have raised questions and concerns as current lithography techniques reduce critical dimensions (CD) below 50 nm. There are few applications of controlled variation of LER and LWR, even among those which use electron beam direct writing (EBDW), although it is highly desirable to test the influence of systematical variation of LER and LWR on actual semiconductor devices. To get a clear understanding how and what the LERs and LWRs are influencing in EBDW, we have designed and fabricated transistor gates with programmed LER and LWR using EBDW and observed those based on CD-SEM metrology. The obtained results including calculated power spectrum density (PSD) shows the capability of EBDW to control the LER/LWR. Further, the influence of edge/width roughness in EBDW on device characteristics is reviewed and it gives how the effect of LWR/LER translates to device performance in DRAM process flow. It is found that the control of LWR is more important than that of LER for future lithography developments.
- Published
- 2008
- Full Text
- View/download PDF
21. Resist Processes for High Resolution Mask and Direct Write Applications Using the Latest Vistec VSB Electron Column
- Author
-
H. Sailera, K. Keil, M. Boettcher, Christoph Hohle, M. Irmscher, and P. Hahmann
- Subjects
Materials science ,business.industry ,Chip ,Nanoimprint lithography ,law.invention ,Nanolithography ,Optics ,Resist ,Digital pattern generator ,law ,Photomask ,business ,Lithography ,Electron-beam lithography - Abstract
Summary form given only. High resolution variable shaped beam (VSB) electron lithography becomes more and more important for chip and mask making. The use for direct write of critical layers, at least one node ahead of the current production level, enables early device and technology development as well as fast prototyping. Aggressive OPC features of advanced photomasks require a resolution capability of the chrome patterning process clearly below 80 nm. Finally, the nanoimprint lithography has been discussed as a future option for device making and template structuring demands minimum feature sizes corresponding to the considered node. These three addressed pattern generator applications require both, high resolution and a cost-effective throughput for complex pattern and therefore we evaluated a VSB system in combination with latest chemically amplified resist (CAR) samples for these purposes. The used Vistec VSB writer is equipped with Vistec's most recent 3050 column [1], operating at 50 kV, a current density of 20A/cm2 with an improved edge acuity focussed on a resolution of 35 nm and below. In a test using HSQ lines with 30 nm half pitch could be obtained proving the high resolution capability of this new column. For the considered applications we evaluated e-beam sensitive pCARs and nCARs of different vendors in combination with the new Vistec column. The evaluation of all CARs was accomplished according to an identical method focussed on the determination of resolution, line edge roughness, sensitivity, vacuum stability, bake sensitivity and etch stability After these basic tests first optimized processes have been developed executing DoEs with bake and developer conditions as input parameters. Many CARs suffer from limited resolution and severe line edge roughness. One resist vendor provided CARs based on the same polymer but with different PAG and quencher concentrations resulting in different e-beam sensitivities. On the basis of these materials we investigated the dependency between the sensitivity on one hand and resolution and line edge roughness on the other hand. Finally, we will demonstrate the application of selected CARs for nanoimprint template and photomask patterning. Sub-50 nm pillars on a template have been generated using a negative-tone resist while a pCAR has been applied for structuring of 50 nm features in an 80 nm thick hardmask / chrome stack of a binary photomask.
- Published
- 2007
- Full Text
- View/download PDF
22. Defect inspection of positive and negative sub-60nm resist pattern printed with variable shaped E-Beam direct write lithography
- Author
-
M. Richter, M. Kindler, M. Lapidot, Tarek Lutz, D. Zemach, Katja Keil, Christoph Hohle, Johannes Kretz, and C. Arndt
- Subjects
Image stitching ,Optics ,Resist ,business.industry ,Computer science ,Cathode ray ,Process (computing) ,Wafer ,Photoresist ,business ,Lithography ,Electron-beam lithography - Abstract
For Electron Beam Direct Write (EBDW) a systematic investigation of defect density using a Negevtech 3100 darkfield inspection system was performed. A special defect learning pattern for memory applications with coverage of 50% was designed and printed partially on 300mm wafers using chemically amplified positive and negative E-Beam resists. By optical defect measurements post litho it was possible to inspect 50nm dense lines to characterize the exposure system as well as the used resist process. Using this method a large exposed area in millimeter range can be inspected and an overview on exposure quality can be gained in a reasonable amount of time. Particle measurements were performed additionally to distinguish between particles and exposure issues. By using darkfield measurements, process related issues like development problems and resist residuals can be found, as well as writing issues like shot butting and write field stitching can be quickly determined and controlled with this method. In this paper, the measurement methodology is described as well as the effect of writer imperfections on the darkfield images. A pareto analysis is performed and shows the frequency of occurrence of different defects. Measures to reduce defects - especially on the tool side - are given. The method is feasible to use in a regular check to control tool and process performance.
- Published
- 2007
- Full Text
- View/download PDF
23. Evaluation of hybrid lithography and mix and match scenarios for electron beam direct write applications
- Author
-
Johannes Kretz, C. Arndt, F. Thrum, K.-H. Choi, Christoph Hohle, Katja Keil, Tarek Lutz, and Publica
- Subjects
Dynamic random-access memory ,Computer science ,business.industry ,Condensed Matter Physics ,law.invention ,Optics ,Resist ,law ,Electronic engineering ,X-ray lithography ,Process window ,Electrical and Electronic Engineering ,Photolithography ,business ,Lithography ,Next-generation lithography ,Electron-beam lithography - Abstract
An overview about process window evaluation and characteristic features of photoresists for e-beam/optical hybrid lithography as well as mix and match applications and implementation into new integration concepts is given. For that, several commercially available deep ultraviolet (DUV) (248 nm), ArF (193 nm), and e-beam resist samples from various suppliers were exposed at Qimonda's dynamic random access memory pilot line environment using both e-beam and optical exposure. Due to the diverse, sometimes contradictory requirements and properties of the different material platforms (e.g., resolution, sensitivity, vacuum stability, etch resistance, etc.), a unique material for true hybrid lithography is difficult to find. At least the tested DUV resist is limited applicable for e-beam exposures putting up with low e-beam sensitivity.
- Published
- 2007
24. Evaluation of most recent chemically amplified resists for high resolution direct write using a Leica SB350 variable shaped beam writer
- Author
-
Mathias Irmscher, Karl-Heinz Kliem, Johannes Kretz, Christoph Hohle, Holger Sailer, Dirk Beyer, Ulrich Denker, Monika Boettcher, Frank-Michael Kamm, Kang-Hoon Choi, Frank Thrum, and Anatol Schwersenz
- Subjects
Materials science ,business.industry ,Electrical engineering ,Shaped beam ,law.invention ,Variable (computer science) ,Optics ,Resist ,law ,Process optimization ,Node (circuits) ,Sensitivity (control systems) ,Photolithography ,business ,Dram - Abstract
E-beam direct writing, one node ahead of advanced optical lithography, can be a time and cost effective option for early device and technology development as well as for fast prototyping. Because of the device complexity only a variable-shaped e-beam writer combined with sensitive chemically amplified resists (CAR) can be considered for this approach. We evaluated various pCARs and nCARs of all major suppliers for our goal to structure DRAMs of the 50nm node using the Leica SB350 e-beam writer. The most promising samples were selected for a process optimization by variation of bake and development conditions. Finally, one resist of each tonality met the most of our specifications like dense lines and contact holes resolution, sensitivity and vacuum stability.
- Published
- 2006
- Full Text
- View/download PDF
25. Recent advances in fluorinated resists for application at 157 nm
- Author
-
Christoph Hohle, David Rentkiewicz, Nickolay Stepanenko, Etsuro Kawaguchi, Matthias Markert, Michael Sebald, Andrew Romano, Will Conley, Toshiro Itani, Inge Vermeir, Raj Sakamuri, Masato Shigematsu, Francis M. Houlihan, Uta Mierau, Daniel Miller, and Ralph R. Dammel
- Subjects
Materials science ,Silicon ,business.industry ,Resolution (electron density) ,chemistry.chemical_element ,Photoacid generator ,Optics ,Stack (abstract data type) ,chemistry ,Resist ,Phase-shift mask ,business ,Sensitivity (electronics) ,Lithography - Abstract
This paper is part of our continuing work on a new generation of more transparent, 157 nm resist platforms, which are based upon capping of fluoroalcohol-substituted, transparent perfluorinated resins (TFR) with a tert-butoxycarbonylmethyl (BOCME) moiety. Recent results indicate that by optimizing both resin structure and loading of photoacid generator and base additive a good compromise can be achieved between resolution power, dark erosion resistance, sensitivity and transparency at 157 nm. Specifically, it was found that a decrease in PAG (50% nominal loading) and base loading (75% nominal loading), coupled with optimization of the TFR resins to achieve higher transparency, gives the best compromise of properties. In this manner, resist systems with a transparency as low as 0.87 AU/micron were designed capable of resolving 60 nm 1:1 features, at a dose of 92 mJ/cm 2 (non corrected for sigma), using a strong phase shift mask, and a sigma of 0.3 on a Exitech 157 nm small field mini-stepper. This type of resist material has also been imaged with a larger field tool ( DUV30 Micrascan VII ) to give 80 nm 1.1.5 L/S features at a dose of 135 mJ/cm 2 employing using a Binary mask (σ=0.85). Finally, it was found that our BOCME-TFR based resist system can be used to transfer a 120 nm L/S pattern (imaged by 193 nm lithography) into a hardmask stack on top of silicon.
- Published
- 2004
- Full Text
- View/download PDF
26. Amorphous monolithic triphenylamine derivatives with fast holographic response times
- Author
-
Peter Strohriegl, Stephan J. Zilker, Dietrich Haarer, and Christoph Hohle
- Subjects
Materials science ,business.industry ,Holography ,Nonlinear optics ,Optical storage ,Photorefractive effect ,Triphenylamine ,Organic photorefractive materials ,law.invention ,Amorphous solid ,chemistry.chemical_compound ,Optics ,chemistry ,law ,business ,Refractive index - Abstract
We report on holographic investigations of several organic photorefractive materials based on monolithic low molar mass glasses. The addition of different plasticizing agents and the variation of three NLO-units prove to change the photorefractive performance and the thermal properties of the resulting compounds dramatically. Very fast holographic response times down to 2.5 ms could be reached at refractive index modulations of 6 10- and two-beam coupling gains of up to 140 cm-1.© (2000) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 2000
- Full Text
- View/download PDF
27. Build-up dynamics of fast organic photorefractive glasses
- Author
-
Uwe Hofmann, Stephan J. Zilker, Hans-Werner Schmidt, M. Grasruck, Andre Leopold, Stefan Schloter, Andreas Schreiber, Mukundan Thelakkat, Peter Strohriegl, Dietrich Haarer, and Christoph Hohle
- Subjects
Diffraction ,Optics ,Materials science ,business.industry ,High-refractive-index polymer ,Photoconductivity ,Optoelectronics ,Inverse Laplace transform ,Photorefractive effect ,Grating ,business ,Refractive index ,Organic photorefractive materials - Abstract
We present an organic photorefractive material based on a low molar mass glass with both photoconductive and nonlinear optical properties. By implementing a novel plasticizer and doping with the well known sensitizer C60 weobtained a composite material, which shows extremely fast initial response times of 2.5 ms at writing beam intensitiesof 'write 1 W/cm2 and 450 is at 'write 10.8 W/cm2. Combined with high refractive index modulations of up toLrt = 6 . iO and sample lifetimes of over 6 months this material exhibits an excellent overall performance.In comparison to another similar composite system which was plasticized by a more polar dopand, we demonstratethe crucial role of this functionality in the dispersivity of charge transport and the photorefractive grating dynamics. The complicated temporal behavior of grating build-up and decay which was investigated by degenerate four-wavemixing experiments is discussed in detail. We propose a new way to describe these processes in a more generalmanner as it is done up to date, using an inverse Laplace transform (ILT) analysis.Keywords: photorefractive glass, photoconductivity, time-of-flight, response time, dispersive charge transport
- Published
- 1999
- Full Text
- View/download PDF
28. Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environment
- Author
-
Christoph Hohle, Johannes Kretz, Katja Keil, K.-H. Choi, Johann W. Bartha, L. Szikszai, and Publica
- Subjects
Dynamic random-access memory ,Materials science ,business.industry ,Condensed Matter Physics ,law.invention ,chemistry.chemical_compound ,symbols.namesake ,Optics ,Fourier transform ,Resist ,chemistry ,law ,Optical transfer function ,symbols ,Process window ,Wafer ,Electrical and Electronic Engineering ,business ,Hydrogen silsesquioxane ,Beam (structure) - Abstract
Hydrogen silsesquioxane (HSQ) has interesting applications as an electron-beam resist and hardmask. In this work, HSQ was investigated with regard to the postcoat delay, isofocal dose for an optimum process window, a-beam proximity effect correction, and the molecular structure in order to better understand the processing. Several independent methods were set up and applied to characterize the structuring of HSQ with e-beam including contrast measurements, basedose-over-critical-dimension tests, the isofocal dose method, the doughnut test, and Fourier transform infrared analysis. HSQ was coated on 300 mm bare silicon wafers and exposed with a 50 kV variable shaped e-beam writer in the dynamic random access memory pilot line environment of Qimonda Dresden and Fraunhofer CNT. The postcoat delay showed no significant influence on the exposure results. A dose difference between the basedose and the isofocal dose was observed, which indicates a working point-in a suboptimal process window related to the poor contrast. The FTIR analysis showed a molecular structure change up to 600 mu C/cm(2). Finally, a point-spread-function for HSQ has been generated for the first time. In summary, the results from this detailed characterization show promise for a manageable process.
- Published
- 2009
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.