Search

Your search keyword '"Line edge roughness"' showing total 162 results

Search Constraints

Start Over You searched for: Descriptor "Line edge roughness" Remove constraint Descriptor: "Line edge roughness" Topic optics Remove constraint Topic: optics
162 results on '"Line edge roughness"'

Search Results

1. Special Section Guest Editorial: Masks and Lithography in the Era of Multi-beam Mask Writers

2. Exploring the stochastics cliff: understanding the impact of LER/LWR to stochastic defectivity and yield

3. Analyses of pattern quality in roll-to-roll digital maskless lithography with positional errors

4. Contribution of mask defectivity in stochastics of EUVL-based wafer printing

5. Fabricating programmed micro-defects on a line and space pattern with an ultra-low line edge roughness <1 nm

6. Self-aligned double pattern process using DSA pattern

7. Latent image characterization by spectroscopic reflectometry in the extreme ultraviolet

8. Evaluating SEM-based LER metrology using a metrological tilting-AFM

9. Stochastic printing behavior of non-local mask deficiencies in EUV lithography

10. Contribution ratio of process fidelity and beam accuracy in multi-beam mask writing

11. Understanding the influence of three-dimensional sidewall roughness on observed line-edge roughness in scanning electron microscopy images

12. Understanding the influence of 3D sidewall roughness on observed line-edge roughness in scanning electron microscopy images

13. Relationship between Resolution Blur and Shot Noise in Line Edge Roughness Formation of Chemically Amplified Resists Used for Extreme-Ultraviolet Lithography

15. Formulation of trade-off relationships between resolution, line edge roughness, and sensitivity in sub-10 nm half-pitch region for chemically amplified extreme ultraviolet resists

16. Optimization of Nano-Grating Pitch Evaluation Method Based on Line Edge Roughness Analysis

18. Corrigendum: 'Theoretical study on trade-off relationships between resolution, line edge roughness, and sensitivity in photomask production by electron beam lithography' [Jpn. J. Appl. Phys. 58, 076501 (2019)]

19. Roughness reduction in submicron waveguides by low-molecular weight development.

20. Impact of Short-Wavelength and Long-Wavelength Line-Edge Roughness on the Variability of Ultrascaled FinFETs

21. Relationship between Sensitization Distance and Photon Shot Noise in Line Edge Roughness Formation of Chemically Amplified Resists Used for Extreme Ultraviolet Lithography

22. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data

23. Impact of Line Edge Roughness on ReRAM Uniformity and Scaling

26. Relationship between Thermalization Distance and Line Edge Roughness in Sub-10 nm Fabrication Using Extreme Ultraviolet Lithography

27. Optimization of stochastic EUV resist models parameters to mitigate line edge roughness

28. Reducing Line Edge Roughness in Si and SiN through plasma etch chemistry optimization for photonic waveguide applications

29. Modeling and Simulation of Line Edge Roughness for EUV Resists

30. Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

31. CD metrology for EUV resist using high-voltage CD-SEM: shrinkage, image sharpness, repeatability, and line edge roughness

33. Comparison of left and right side line edge roughness in lithography

34. Sensitivity of secondary electron yields and SEM images to scattering parameters in MC simulations

36. Contact Edge Roughness: Characterization and modeling

37. Detailed resist film modeling in stochastic lithography simulation for line-edge roughness quantification

39. Fieldstitching with Kirchhoff-boundaries as a model based description for line edge roughness (LER) in scatterometry

40. Characterization of Line-Edge Roughness in Cu/Low-kInterconnect Pattern

41. Development of Low Line Edge Roughness and Highly Sensitive Resist for Extreme Ultraviolet Lithography

42. Polarized illuminator impact on line edge roughness

43. LER evaluation of molecular resist for EUV lithography

44. Nanoscale surface measurements at sidewalls of nano- and micro-structures

45. Development of EUV Resists in University of Hyogo

46. Feasibility to Measure Sidewall Structures of Electronic Device Pattern Using the Inclination AFM

47. Toward 10nm half-pitch in EUV lithography: results on resist screening and pattern collapse mitigation techniques

48. Effects of the statistical fluctuation of PAG and quencher on LWR of ArF resists

49. Understanding the efficacy of linewidth roughness post-processing

50. Application of frequency domain line edge roughness characterization methodology in lithography

Catalog

Books, media, physical & digital resources