Search

Your search keyword '"Digital integrated circuits -- Usage"' showing total 276 results

Search Constraints

Start Over You searched for: Descriptor "Digital integrated circuits -- Usage" Remove constraint Descriptor: "Digital integrated circuits -- Usage"
276 results on '"Digital integrated circuits -- Usage"'

Search Results

52. Dynamic multiway segment tree for IP lookups and the fast pipelined search engine

57. FPGA-based multiple-channel vibration analyzer for industrial applications in induction motor failure detection

58. Efficient FPGA realization of CORDIC with application to robotic exploration

59. Field programmable gate array-based pulse-width modulation for single phase active power filter

61. Embedded vision modules for tracking and counting people

62. Real-time hand-held ultrasound medical-imaging device based on a new digital quadrature demodulation processor

65. FPGA realization of an adaptive fuzzy controller for PMLSM drive

66. Low-cost, high-speed back-end processing system for high-frequency ultrasound B-mode imaging

67. A carrier-independent non-data-aided real-time SNR estimator for M-PSK and D-MPSK suitable for FPGAs and ASICs

68. Level-2 calorimeter trigger upgrade at CDF

69. Noninvasive fault classification, robustness and recovery time measurement in microprocessor-type architectures subjected to radiation-induced errors

71. Implementation of bilateral control system based on acceleration control using FPGA for multi-DOF haptic endoscopic surgery robot

72. Dual purpose FWT domain spread spectrum image watermarking in real time

73. Digital background-calibration algorithm for 'split ADC' architecture

74. Efficient CORDIC algorithms and architectures for low area and high throughput implementation

75. Realization of a motion control IC for X-Y table based on novel FPGA technology

76. Concatenated low-density parity-check and BCH coding system for magnetic recording read channel with 4 kB sector format

77. A novel architecture of delta-sigma modulator enabling all-digital multiband multistandard RF transmitters design

78. Analysis of multisampled current control for active filters

79. Cryptanalysis with COPACOBANA

80. Fine-grain SEU mitigation for FPGAs using partial TMR

81. Soft errors in SRAM-FPGAs: a comparison of two complementary approaches

82. A new algorithm for the analysis of the MCUs sensitiveness of TMR architectures in SRAM-based FPGAs

83. Effectiveness of TMR-based techniques to mitigate alpha-induced SEU accumulation in commercial SRAM-based FPGAs

84. A speed-optimized systolic array processor architecture for spatio-temporal 2-D IIR broadband beam filters

85. An FPGA implementation of MML-DFE for spatially multiplexed MIMO systems

86. Warp processing: dynamic translation of binaries to FPGA circuits

87. Event and pulse node hardware design for nuclear fusion experiments

88. Reconfigurable frequency response masking filters for software radio channelization

89. FPGA implementation of power aware FIR filter using reduced transition pipelined Variable precision gating

90. Building integrated remote control systems for electronics boards

91. Upgrade of the level 1 global trigger system in the Belle experiment

92. Digital frequency domain multiplexer for millimeter-wavelength telescopes

93. Hardware implementation of 1D wavelet transform on an FPGA for infrasound signal classification

95. FPGA-based speed control IC for PMSM drive with adaptive fuzzy control

96. On efficient implementation of FPGA-based hyperelliptic curve cryptosystems

97. Compact modular exponentiation accelerator for modern FPGA devices

98. Differential power and electromagnetic attacks on a FPGA implementation of elliptic curve cryptosystems

99. Teaching computer organization and architecture using simulation and FPGA applications

100. FFT spectrum analyzer project for teaching digital signal processing with FPGA devices

Catalog

Books, media, physical & digital resources