303 results on '"Felix, Nelson M."'
Search Results
102. Low-stress and high-reflectance Mo/Si multilayers for EUVL by magnetron sputtering deposition with bias assistance
103. Patterning mechanism of metal based hybrid EUV resists
104. Extreme ultraviolet mask multilayer material variation impact on horizontal to vertical pattern bias
105. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking
106. Systematic assessment of the contributors of line edge roughness in EUV lithography using simulations
107. EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning
108. Evaluation of high-resolution and sensitivity of n-CAR hybrid resist for sub-16nm or below technology node
109. Constructing a robust PSCAR process for EUV
110. High-resolution EUV lithography using a multi-trigger resist
111. Through-pellicle inspection of EUV masks
112. Stochastic effects in EUV lithography
113. CNTs in the context of EUV pellicle history
114. Actinic EUV scatterometry for parametric mask quantification
115. A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks
116. Effects of chamber conditions on EUV source efficiency and optical system performance during high-frequency operation
117. Printability estimation of EUV blank defect using actinic image
118. A tabletop coherent EUV source for commercial EUVL metrology and imaging applications
119. EUV contact-hole local CD uniformity optimization for DRAM storage node application
120. Illumination source optimization in EUV lithography for staggered contact holes and pillars for DRAM applications
121. A comparison of different methods of characterizing EUV photoresist shrinkage
122. Self-aligned block and fully self-aligned via for iN5 metal 2 self-aligned quadruple patterning
123. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers
124. Impact of aberrations in EUV lithography: metal to via edge placement control
125. Diffuser concepts for in-situ wavefront measurements of EUV projection optics
126. SRAF requirements, relevance, and impact on EUV lithography for next-generation beyond 7nm node
127. Double patterning at NA 0.33 versus high-NA single exposure in EUV lithography: an imaging comparison
128. Model based high NA anamorphic EUV RET
129. DDR process and materials for novel tone reverse technique
130. Single exposure EUV of 32nm pitch logic structures: patterning performance on BF and DF masks
131. Evaluation of EUV mask impacts on wafer line-edge roughness using aerial and SEM image analyses
132. Comparative stochastic process variation bands for N7, N5, and N3 at EUV
133. EUV vote-taking lithography: crazy... or not?
134. EUV for HVM: towards an industrialized scanner for HVM NXE3400B performance update
135. Characterization and control of EUV scanner dose uniformity and stability
136. Holistic analysis of aberration induced overlay error in EUV lithography
137. Defect detection strategies and process partitioning for SE EUV patterning
138. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?
139. EUV photoresist patterning characterization for imec N7/N5 technology
140. Ti, Zr, and Hf-based molecular hybrid materials as EUV photoresists
141. Polymer brush as adhesion promoter for EUV patterning
142. Characterization of wafer geometry and overlay error on silicon wafers with nonuniform stress
143. Development of amorphous silicon based EUV hardmasks through physical vapor deposition
144. Single-expose patterning development for EUV lithography
145. Overlay improvement roadmap: strategies for scanner control and product disposition for 5-nm overlay
146. Smaller, smarter, faster, and more accurate: the new overlay metrology
147. Toward perfect on-wafer pattern placement: stitched overlay exposure tool characterization
148. Fluorinated Quaternary Ammonium Salts as Dissolution Aids for Polar Polymers in Environmentally Benign Supercritical Carbon Dioxide
149. Solid state NMR investigation of photoresist molecular glasses including blend behavior with a photoacid generator
150. Molecular Glass Resists as High-Resolution Patterning Materials
Catalog
Books, media, physical & digital resources
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.