Search

Your search keyword '"Johann W. Bartha"' showing total 165 results

Search Constraints

Start Over You searched for: Author "Johann W. Bartha" Remove constraint Author: "Johann W. Bartha"
165 results on '"Johann W. Bartha"'

Search Results

101. Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography

102. Simulation approach of atomic layer deposition in large 3D structures

103. Temperature dependence of the sticking coefficients of bis-diethyl aminosilane and trimethylaluminum in atomic layer deposition

104. Experimental and simulation approach for process optimization of atomic layer deposited thin films in high aspect ratio 3D structures

105. Enhanced growth and Cu diffusion barrier properties of thermal ALD TaNC films in Cu/low-k interconnects

106. A method for characterizing the pad surface texture and modeling its impact on the planarization in CMP

107. Pad roughness evolution during break-in and its abrasion due to the pad-wafer contact in oxide CMP

108. Low temperature etching of Si in high density plasma using SF6/O2

109. Comparative study on ALD/CVD-Co(W) films as a single barrier/liner layer for 22−1x nm generation interconnects

110. Demonstration of 22nm SRAM features with patternable hafnium oxide-based resist material using electron-beam lithography

111. Pattern transfer from the e-beam resist, over the nanoimprint resist and to the final silicon substrate

112. Deformations of soft imprint templates in the nanoimprint lithography

113. Evaluation of direct patternable inorganic spin-on hard mask materials using electron beam lithography

114. Monitoring atomic layer deposition processes in situ and in real-time by spectroscopic ellipsometry

115. Direct fabrication of multi-tier structures in dielectric materials for dual damascene processing

116. A Through Silicon Via concept for sensor applications

117. Investigations on Ru-Mn films as plateable Cu diffusion barriers

118. Pad roughness effects on the planarization and material removal rate in CMP processes

119. Fundamental relationship between capacitance-time measurements and gravimetric measurements for water absorption in a low-k dielectric

120. Erratum: Investigation of Argon Plasma Damage on Ultra Low-κ Dielectrics [ECS J. Solid State Sci. Technol., 4, N3023 (2015)]

121. Assessment Of Mechanical Properties Of Nanoscale Structures For Microprocessor Manufacturing

122. Characterization of Surface Processes During Oxide CMP by in situ FTIR Spectroscopy With Microstructured Reflection Elements at Silicon Wafers

123. Enhancing epitaxial SixC1-x deposition by adding Ge

124. Applications of microstructured silicon wafers as internal reflection elements in attenuated total reflection Fourier transform infrared spectroscopy

125. Influence of Ionic Strength and pH-value on the Silicon Dioxide Polishing Behaviour of Slurries Based on Pure Silica Suspensions

126. SiGe channels for higher mobility CMOS devices

127. Properties of plasma-enhanced atomic layer deposition-grown tantalum carbonitride thin films

128. Resolution and total blur: Correlation and focus dependencies in e-beam lithography

129. Temperature dependence of the reflectivity of silicon with surface oxide at wavelengths of 633 and 1047 nm

130. Investigation of the relaxation behavior of Si1-xCx alloys during epitaxial UHV-CVD growth

131. In situ XPS investigation about the growth of the first atomic layer of Ta(N) films deposited by thermal TBTDET ALD

132. A comparative study of thermal and plasma enhanced ALD Ta-N-C films on SiO2, SiCOH and Cu substrates

133. Controlling threshold voltage and leakage currents in vertical organic field-effect transistors by inversion mode operation

134. Optical and structural characteristics of ZnO films doped with gallium

135. Feasibility Study for Usage of Diluted Fluorine for Chamber Clean Etch Applications as an Environmental Friendly Replacement of NF3

136. Hafnium oxide for optical applications deposited by different CMOS compatible methods

137. Study on the planarization behaviour of copper CMP utilizing a dense pattern and a global step

138. A novel copper damascene technique for power loaded SAW structures

139. Assessment of Planarization length variation by the Step-Polish-Response (SPR) Method

140. Adaptive Piezo-Controlled Carrier for CMP Processing

141. Integration of molecular-layer-deposited aluminum alkoxide interlayers into inorganic nanolaminate barriers for encapsulation of organic electronics with improved stress resistance

142. Graphene based electron field emitter

143. Investigation of erosion plume emission spectra by laser ablation of metallic targets in vacuum

144. Electron temperature and ion velocity distribution of erosion plume under ablation of Cu target

145. Ion acceleration by cw CO 2 laser irradiation in the erosion plume after ablation with excimer laser

146. Improvement of Ta-based thin film barriers on copper by ion implantation of nitrogen and oxygen

147. Hard x-ray nanofocusing by refractive lenses of constant thickness

148. Atomic layer deposited high-κ nanolaminates for silicon surface passivation

149. Formation of regularly arranged large grain silicon islands by using embedded micro mirrors in the flash crystallization of amorphous silicon

150. In-situ real-time ellipsometric investigations during the atomic layer deposition of ruthenium: A process development from [(ethylcyclopentadienyl)(pyrrolyl)ruthenium] and molecular oxygen

Catalog

Books, media, physical & digital resources