Search

Your search keyword '"self-alignment"' showing total 404 results

Search Constraints

Start Over You searched for: Descriptor "self-alignment" Remove constraint Descriptor: "self-alignment"
404 results on '"self-alignment"'

Search Results

151. Numerical studies on micropart self-alignment using surface tension forces.

152. Numerical and Experimental Analysis of Solder Joint Self-Alignment in Fiber Attachment Soldering.

153. Self-alignment of microchips using surface tension and solid edge

154. Two-Dimensional MEMS Scanner for Dual-Axes Confocal Microscopy.

155. Aligned Silver Nanoparticles on Rippled Silicon Templates Exhibiting Anisotropic Plasmon Absorption.

156. Oscillation of a tilted circular pad on a droplet for the self-alignment process

157. Self-Alignment of Liquid Crystal Molecules on Polydiacetylene Langmuir-Blodgett Films.

158. Optomechanical Monte Carlo Tolerancing Study of a Packaged Free-Space Intra-MCM Optical Interconnect System.

159. High Fill-Factor Two-Axis Gimbaled Tip-Tilt-Piston Micromirror Array Actuated by Self-Aligned Vertical Electrostatic Combdrives.

160. Microfabricated Torsional Actuators Using Self-Aligned Plastic Deformation of Silicon.

161. Influence of Pad Shape on Self-Alignment in Electronic Packaging.

162. Surface tension-driven self-alignment

163. Density control of self-aligned shortened single-wall carbon nanotubes on polyelectrolyte-coated substrates

164. Dynamic Analysis of Flip-Chip Self-Alignment.

166. Single-crystalline silicon micromirrors actuated by self-aligned vertical electrostatic combdrives with piston-motion and rotation capability

167. Gimbal-less monolithic silicon actuators for tip-tilt-piston micromirror applications.

168. Multilevel Beam SOI-MEMS Fabrication and Applications.

169. High performance of 1.55 µm DFB integrated with vertically tapered self-aligned spot-size converter.

170. Self-alignment of microparts using liquid surface tension—behavior of micropart and alignment characteristics

171. Movable vertical mirror arrays for optical microswitch matrixes and their electromagnetic actuation.

172. Surface-Controlled Molecular Self-Alignment in Polymer Actuators for Flexible Microrobot Applications

173. Self-alignment procedure for IMU in automotive context

174. Análisis del comportamiento Dinámico de Vehículos Industriales mediante Simulación con Recurdyn. Aplicación a los modelos LTm 9396-1, LTm 42032-1 y LTm 42055-1

175. Self-alignment of full skewed RSINS: observability analysis and full-observable Kalman filter.

176. Alignment of electron optical beam shaping elements using a convolutional neural network.

177. Mechanism of 2D Materials' Seamless Coalescence on a Liquid Substrate.

178. Anti-swaying self-alignment method based on observation vector inertia for SINS under latitude uncertainty.

179. Bioinspired ultrathin graphene nanosheets sandwiched between epoxy layers for high performance of anticorrosion coatings.

180. Self-Aligning and Self-Calibrating Capacitive Sensor System for Displacement Measurement in Inaccessible Industrial Environments

181. Method for Improved Performance of Fixed-Gain Self-Alignment in the Temperature Stabilizing State

182. High-Performance Polycrystalline Silicon TFTs using Self- Aligned Grain Boundary Control Technique.

183. US-DMOS: A Novel Structure for Power MOSFETs.

184. Roll-to-roll processing of film substrates for hybrid integrated flexible electronics

185. A Novel Digital Etch Technique for Deeply Scaled III-V MOSFETs.

186. Ohmic-Contact-Gated Carbon Nanotube Transistors for High-Performance Analog Amplifiers.

187. Dipole-Moment Induced Phototaxis and Fuel-Free Propulsion of ZnO/Pt Janus Micromotors.

188. Self-alignment technique of liquid crystal using a novel additive containing thiol group.

189. Flip Chip Bonding of a Quartz MEMS-Based Vibrating Beam Accelerometer

190. A Self-Alignment Algorithm for SINS Based on Gravitational Apparent Motion and Sensor Data Denoising

191. Modeling capillary forces for large displacements

193. Surface Tension-driven Self-Alignment

194. A review of thin-film transistors/circuits fabrication with 3D self-aligned imprint lithography

195. A mask-free fabrication of SU-8/silicon spherical microprobe

196. Crossed ring anchored disk resonator for self-alignment of the anchor

197. A Kalman Filter for SINS Self-Alignment Based on Vector Observation

199. Silicon nanowire NVM cell using high-k dielectric charge storage layer

200. Self-Alignment of Optical Devices With Fiber for Low-Cost Optical Interconnect Modules.

Catalog

Books, media, physical & digital resources