Search

Your search keyword '"Benini, Luca"' showing total 3,316 results

Search Constraints

Start Over You searched for: Author "Benini, Luca" Remove constraint Author: "Benini, Luca"
3,316 results on '"Benini, Luca"'

Search Results

201. Robust High-dimensional Memory-augmented Neural Networks

202. An Open-Source Platform for High-Performance Non-Coherent On-Chip Communication

203. A transprecision floating-point cluster for efficient near-sensor data analytics

204. Manticore: A 4096-core RISC-V Chiplet Architecture for Ultra-efficient Floating-point Computing

205. Leveraging Automated Mixed-Low-Precision Quantization for tiny edge microcontrollers

206. Performance-Aware Predictive-Model-Based On-Chip Body-Bias Regulation Strategy for an ULP Multi-Core Cluster in 28nm UTBB FD-SOI

207. Memory-Latency-Accuracy Trade-offs for Continual Learning on a RISC-V Extreme-Edge Node

208. Improving Memory Utilization in Convolutional Neural Network Accelerators

209. Always-On 674uW @ 4GOP/s Error Resilient Binary Neural Networks with Aggressive SRAM Voltage Scaling on a 22nm IoT End-Node

210. A 0.5GHz 0.35mW LDO-Powered Constant-Slope Phase Interpolator with 0.22$\%$ INL

211. FPnew: An Open-Source Multi-Format Floating-Point Unit Architecture for Energy-Proportional Transprecision Computing

212. Arnold: an eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End-Nodes

213. TinyRadarNN: Combining Spatial and Temporal Convolutional Neural Networks for Embedded Gesture Recognition with Short Range Radars

214. Automated Design Space Exploration for optimised Deployment of DNN on Arm Cortex-A CPUs

215. EEG-TCNet: An Accurate Temporal Convolutional Network for Embedded Motor-Imagery Brain-Machine Interfaces

216. ChewBaccaNN: A Flexible 223 TOPS/W BNN Accelerator

217. Optimizing Temporal Convolutional Network inference on FPGA-based accelerators

218. Prevention of Microarchitectural Covert Channels on an Open-Source 64-bit RISC-V Core

219. Q-EEGNet: an Energy-Efficient 8-bit Quantized Parallel EEGNet Implementation for Edge Motor-Imagery Brain--Machine Interfaces

220. Energy-Efficient Hardware-Accelerated Synchronization for Shared-L1-Memory Multiprocessor Clusters

221. pAElla: Edge-AI based Real-Time Malware Detection in Data Centers

222. LLHD: A Multi-level Intermediate Representation for Hardware Description Languages

223. An Accurate EEGNet-based Motor-Imagery Brain-Computer Interface for Low-Power Edge Computing

224. InfiniWolf: Energy Efficient Smart Bracelet for Edge Computing with Dual Source Energy Harvesting

225. Extending the RISC-V ISA for Efficient RNN-based 5G Radio Resource Management

226. Combining Learning and Optimization for Transprecision Computing

227. Snitch: A tiny Pseudo Dual-Issue Processor for Area and Energy Efficient Execution of Floating-Point Intensive Workloads

228. RPR: Random Partition Relaxation for Training; Binary and Ternary Weight Neural Networks

229. HR-SAR-Net: A Deep Neural Network for Urban Scene Segmentation from High-Resolution SAR Data

230. Stream Semantic Registers: A Lightweight RISC-V ISA Extension Achieving Full Compute Utilization in Single-Issue Cores

231. FANN-on-MCU: An Open-Source Toolkit for Energy-Efficient Neural Network Inference at the Edge of the Internet of Things

232. COUNTDOWN Slack: a Run-time Library to Reduce Energy Footprint in Large-scale MPI Applications

233. Constrained deep neural network architecture search for IoT devices accounting hardware calibration

235. Reducing False Alarms in Wearable Seizure Detection With EEGformer: A Compact Transformer Model for MCUs

237. EBPC: Extended Bit-Plane Compression for Deep Neural Network Inference and Training Accelerators

238. PULP-NN: Accelerating Quantized Neural Networks on Parallel Ultra-Low-Power RISC-V Processors

239. Network-Accelerated Non-Contiguous Memory Transfers

240. 5 Parallel Prism: A topology for pipelined implementations of convolutional neural networks using computational memory

241. In-memory hyperdimensional computing

242. Ara: A 1 GHz+ Scalable and Energy-Efficient RISC-V Vector Processor with Multi-Precision Floating Point Support in 22 nm FD-SOI

243. Memory-Driven Mixed Low Precision Quantization For Enabling Deep Network Inference On Microcontrollers

244. Additive Noise Annealing and Approximation Properties of Quantized Neural Networks

245. An Open Source and Open Hardware Deep Learning-powered Visual Navigation Engine for Autonomous Nano-UAVs

246. Embedding Principal Component Analysis for Data Reductionin Structural Health Monitoring on Low-Cost IoT Gateways

247. Demo Abstract: Pible: Battery-Free Mote for Perpetual Indoor BLE Applications

248. The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-ready 1.7GHz 64bit RISC-V Core in 22nm FDSOI Technology

249. Online Anomaly Detection in HPC Systems

250. Slotted ALOHA on LoRaWAN - Design, Analysis, and Deployment

Catalog

Books, media, physical & digital resources