Search

Your search keyword '"*PLASMA etching"' showing total 2,995 results

Search Constraints

Start Over You searched for: Descriptor "*PLASMA etching" Remove constraint Descriptor: "*PLASMA etching"
2,995 results on '"*PLASMA etching"'

Search Results

1. Impact of the recessed gate depth on the GaN metal-oxide-semiconductor high electron mobility transistor performances: New insights on mobility extraction.

2. Anisotropic etching behavior and topography formation mechanism of silicon solar cell surface textured by atmospheric plasma.

3. High-sensitivity transition-edge-sensed bolometers: Improved speed and characterization with AC and DC bias.

4. Influence of Si(111) substrate off-cut on AlN film crystallinity grown by magnetron sputter epitaxy.

5. High quality GaN microplatelets grown by metal-organic vapor phase epitaxy on patterned silicon-on-insulator substrates: Toward micro light-emitting diodes.

6. NV-doped microstructures with preferential orientation by growth on heteroepitaxial diamond.

7. Dynamic process of wet etching using BOE solutions to control the etch rate, roughness, and surface morphology of a Z-cut α-quartz wafer.

8. Influence of electrolytic plasma spatial distribution on nanoporous structure etching on 4H-SiC surface.

9. Enhanced oxygen reduction activity of α -MnO2 by NH3 plasma treatment.

10. Improved spectral emissivity and mechanical properties of high-entropy (Ti0.2Zr0.2Nb0.2Mo0.2Hf0.2)B2 derived from boro/carbothermal reduction.

11. A highly efficient, eco‐friendly method for antireflection nanostructures on poly (ethylene terephthalate)

12. Ar/NH3 Plasma Etching of Cobalt‐Nickel Selenide Microspheres Rich in Selenium Vacancies Wrapped with Nitrogen Doped Carbon Nanotubes as Highly Efficient Air Cathode Catalysts for Zinc‐Air Batteries.

13. Defect Passivation Toward Designing High‐Performance Fluorinated Polymers for Liquid–Solid Contact‐Electrification and Contact‐Electro‐Catalysis.

14. Pseudo‐Wet Plasma Mechanism Enabling High‐Throughput Dry Etching of SiO2 by Cryogenic‐Assisted Surface Reactions.

15. Vertical diamond Schottky barrier diodes with curved field plates.

16. Investigation of Ohmic contact to plasma-etched n-Al0.5Ga0.5N by surface treatment.

17. Formation of Black Silicon in a Process of Plasma Etching with Passivation in a SF 6 /O 2 Gas Mixture.

18. A Comprehensive Study of NF 3 -Based Selective Etching Processes: Application to the Fabrication of Vertically Stacked Horizontal Gate-All-around Si Nanosheet Transistors.

19. Study on dark current suppression of HgCdTe avalanche photodiodes for low flux photon detection.

20. Plasma etching assisted fabrication of PDA/PEI modified PVDF membranes for oil/water emulsion separation.

21. Optimization of a TEOS addition on plasma resistance of YAG ceramics.

22. Morphological Study before and after Thermal Treatment of Polymer-Polymer Mixed-Matrix Membranes for Gas Separations.

23. Explainable artificial intelligence-based evidential inferencing on process faults in plasma etching.

24. Optimization of Al-excess nonstoichiometry on the densification and plasma resistance of YAG ceramics.

25. Effect of porosity on etching rate and crater-like microstructure of sintered Al2O3, Y2O3, and YAG ceramics in plasma etching.

26. Application of honeycomb pattern to Ti2AlN MAX phase films by plasma etching.

27. Highly-durable plasma-sprayed Al2O3-YSZ/YSZ double ceramic layer TBCs against CMAS corrosion.

28. Uniform large-area surface patterning achieved by metal dewetting for the top-down fabrication of GaN nanowire ensembles.

29. Oxidation state of cobalt oxide in thermal-cyclic atomic layer etching of cobalt by plasma oxidation and organometallization.

30. Maximizing visible Raman resolution of nanodiamond grains fabricated by coaxial arc plasma deposition through oxygen plasma etching optimization.

31. Evolution of phase slips with wire width and observation of critical-voltage feature without high-impedance environment in NbN nanowires.

32. Effect of cold plasma treatment and plasma‐activated water on physicochemical and structural properties of starch: A green and novel approach for environmental sustainability.

33. Multi‐ and Gray‐Scale Thermal Lithography of Silk Fibroin as Water‐Developable Resist for Micro and Nanofabrication.

34. Microstructural characterization and inductively coupled plasma-reactive ion etching resistance of Y2O3–Y4Al2O9 composite under CF4/Ar/O2 mixed gas conditions.

35. Enhancement‐Mode Phototransistors Based on β‐Ga2O3 Microflakes Fabricated by Focused Ion Beams.

36. Zinc‐Imidazolate Films as an All‐Dry Resist Technology.

37. Effect of Ar and N2 plasma etching on adhesion between mold resin and sputtered Cu in semiconductor electromagnetic shielding.

38. Parasitic conduction loss of lithium niobate on insulator platform.

39. Voltage waveform tailoring for high aspect ratio plasma etching of SiO2 using Ar/CF4/O2 mixtures: Consequences of low fundamental frequency biases.

40. Ultra-precision lapping of H2O(g) plasma-treated CaF2 by porous diamond grits.

41. Michaelis–Menten kinetics during dry etching processes.

42. Experimental identification of defect-induced destructive breakdown of AlGaN ultraviolet avalanche photodiodes.

43. Plasma-based Surface Modification Applications of Biomaterials -- A Review.

44. Controlling Vertical Asymmetry of Nanocrystals Through Anisotropic Etching‐Assisted Nanosphere Lithography.

45. Post-trench restoration for vertical GaN power devices.

46. Plasma sheath tailoring by a magnetic field for three-dimensional plasma etching.

47. Specialized design for three basic mask patterns counteract charging effects during plasma etching.

48. Single-Mask Fabrication of Sharp SiO x Nanocones.

49. Study of grain-patterned and highly ordered L10-FePt HAMR media using reactive molecular dynamics method.

50. In Situ Reconnection of Nanoelectrodes Over 20 nm Gaps on Polyimide Substrate.

Catalog

Books, media, physical & digital resources