156 results on '"Alfred Grill"'
Search Results
2. Comparison of key fine-line BEOL metallization schemes for beyond 7 nm node
- Author
-
X. Zhang, J. Maniscalco, James Chingwei Li, B. Peethala, Son Nguyen, Han You, Nicholas A. Lanzillo, G. Lian, Vamsi Paruchuri, Takeshi Nogami, Hosadurga Shobha, X. Lin, Scott DeVries, Benjamin D. Briggs, Terry A. Spooner, Raghuveer R. Patlolla, Terence Kane, Daniel C. Edelstein, Huai Huang, James J. Kelly, Theodorus E. Standaert, C.-C. Yang, Jae Gon Lee, Motoyama Koichi, Prasad Bhosale, Donald F. Canaperi, S. Lian, P. McLaughlin, James J. Demarest, Devika Sil, and Alfred Grill
- Subjects
010302 applied physics ,Materials science ,Scattering ,business.industry ,02 engineering and technology ,Conductivity ,Fine line ,021001 nanoscience & nanotechnology ,01 natural sciences ,Line resistance ,Laser linewidth ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Line (formation) - Abstract
For beyond 7 nm node BEOL, line resistance (R) is assessed among four metallization schemes: Ru; Co; Cu with TaN/Ru barrier, and Cu with through-cobalt self-forming barrier (tCoSFB) [1]. Line-R vs. linewidth of Cu fine wires with TaN/Ru barrier crosses over with barrier-less Ru and Co wires for beyond-7 nm node dimensions, whereas Cu with tCoSFB remains competitive, with the lowest line R for 7 nm and beyond. Our study suggests promise of this last scheme to meet requirements in line R and EM reliability.
- Published
- 2017
- Full Text
- View/download PDF
3. Post porosity plasma protection integration at 48 nm pitch
- Author
-
Terry A. Spooner, Joe Lee, Alfred Grill, Huai Huang, Eric G. Liniger, B. Peethala, Willi Volksen, Hosadurga Shobha, Krystelle Lionti, Chao-Kun Hu, Griselda Bonilla, Theodorus E. Standaert, Donald F. Canaperi, Elbert E. Huang, Geraud Dubois, Teddie Magbitang, James Hsueh-Chung Chen, and Daniel C. Edelstein
- Subjects
Materials science ,business.industry ,Copper interconnect ,02 engineering and technology ,Plasma ,Dielectric ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Plasma-enhanced chemical vapor deposition ,Node (physics) ,Multiple patterning ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,Porosity ,business - Abstract
Integration of high porosity low-k dielectrics faces major challenges as the porosity weakens the dielectric, resulting in severe plasma induced damage (PID) and difficulties in profile control. Post porosity plasma protection (P4) integration strategy addresses those challenges by strengthening the dielectric via porosity refill during the integration steps. Realization of P4 integration at an advanced node is nontrivial. In this paper, we demonstrate the feasibility of the P4 integration scheme in a dual damascene double patterning 48 nm pitch test vehicle with a plasma enhanced chemical vapor deposited (PECVD) k = 2.4 inter-layer dielectric (ILD). In addition, initial results of applying P4 with a PECVD k = 2.2 ILD show promise in reducing capacitance at 48 nm pitch and beyond.
- Published
- 2016
- Full Text
- View/download PDF
4. Ultrathin conformal multilayer SiNO dielectric cap for capacitance reduction in Cu/low k interconnects
- Author
-
Chenming Hu, E. Adams, J. Burnham, Deepika Priyadarshini, Donald F. Canaperi, Daniel C. Edelstein, Hosadurga Shobha, C. Parks, Timothy M. Shaw, Son Nguyen, D. Collins, Stephan A. Cohen, Vamsi Paruchuri, Terry A. Spooner, Alfred Grill, and Eric G. Liniger
- Subjects
010302 applied physics ,Materials science ,Dielectric strength ,business.industry ,Bilayer ,Conformal map ,Time-dependent gate oxide breakdown ,Low leakage ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,Reduction (complexity) ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business - Abstract
Multi-layer SiNO barrier film with high breakdown and low leakage is developed for Cu low k interconnects and is compared with the SiCN and SiN barrier films used at previous technology nodes. Combining SiCN with multi-layer SiNO barrier film provides robust Cu and O barrier properties at film thickness of ∼10–14 nm. SiNO layers in the bi-layer film help lower the dielectric constant and hence provide capacitance benefit in the integrated structures. The high breakdown SiNO layers provide benefit in the via chamfer region and improves inter-level time dependent dielectric breakdown (TDDB). Overall, the SiCN/SiNO bilayer dielectric film shows robust device reliability as compared to the state of the art barrier films.
- Published
- 2016
- Full Text
- View/download PDF
5. Epitaxial Graphene Nanoribbon Array Fabrication Using BCP-Assisted Nanolithography
- Author
-
Yu-Ming Lin, Guanxiong Liu, Christos D. Dimitrakopoulos, John A. Ott, John Bruley, Phaedon Avouris, Alexander A. Balandin, Alfred Grill, Damon B. Farmer, Yanqing Wu, and Dirk Pfeiffer
- Subjects
Fabrication ,Materials science ,Macromolecular Substances ,Surface Properties ,Carbon Compounds, Inorganic ,Molecular Conformation ,General Physics and Astronomy ,Epitaxy ,law.invention ,Molecular Imprinting ,law ,Etching (microfabrication) ,Materials Testing ,Photography ,General Materials Science ,Wafer ,Particle Size ,business.industry ,Graphene ,Silicon Compounds ,General Engineering ,Nanostructures ,Nanolithography ,Optoelectronics ,Graphite ,Epitaxial graphene ,Crystallization ,business - Abstract
A process for fabricating dense graphene nanoribbon arrays using self-assembled patterns of block copolymers on graphene grown epitaxially on SiC on the wafer scale has been developed. Etching masks comprising long and straight nanoribbon array structures with linewidths as narrow as 10 nm were fabricated, and the patterns were transferred to graphene. Our process combines both top-down and self-assembly steps to fabricate long graphene nanoribbon arrays with low defect counts. These are the narrowest nanoribbon arrays of epitaxial graphene on SiC fabricated to date.
- Published
- 2012
- Full Text
- View/download PDF
6. Ultrathin (5-35 nm) SiCNH Dielectrics for Damascene Cu Cap Application: Thickness Scaling and Oxidation Barrier Performance Limitation
- Author
-
Griselda Bonilla, Chenming Hu, Thomas J. Haigh, C. Zahakos, Steven E. Molis, Thomas M. Shaw, Steve Cohen, Eric G. Liniger, Chet Dziobkowski, N. Klymko, Hosadurga Shobha, Alfred Grill, and Son V. Nguyen
- Subjects
Materials science ,Copper interconnect ,Nanotechnology ,Dielectric ,Scaling - Abstract
The scaling limit of plasma enhanced chemical vapor deposited (PECVD) ultrathin(5-35 nm) silicon carbon nitride (SiCNH) dielectric as an oxidation and Cu diffusion barrier for damascene process is explored. The SiCNH cap's electrical properties, oxidation barrier performance, and the compositional depth profile analysis results showed that the scaling of the SiCNH cap is limited to 25 nm thickness. Without additional changes in current optimal SiCNH cap, 25 nm is the minimum required thickness for a reliable SiCNH cap in sub-30 nm Cu BEOL devices.
- Published
- 2010
- Full Text
- View/download PDF
7. Wafer-scale epitaxial graphene growth on the Si-face of hexagonal SiC (0001) for high frequency transistors
- Author
-
Yu-Ming Lin, Yu Zhu, Zihong Liu, Zhihong Chen, Yanning Sun, Christos D. Dimitrakopoulos, John A. Ott, Marcus Freitag, Keith A. Jenkins, Timothy J. McArdle, Alfred Grill, Damon B. Farmer, Phaedon Avouris, Robert L. Wisnieff, and Shu-Jen Han
- Subjects
Materials science ,Annealing (metallurgy) ,FOS: Physical sciences ,chemistry.chemical_element ,law.invention ,symbols.namesake ,law ,Materials Chemistry ,Wafer ,Electrical and Electronic Engineering ,High-resolution transmission electron microscopy ,Instrumentation ,Condensed Matter - Materials Science ,Argon ,Graphene ,business.industry ,Process Chemistry and Technology ,Materials Science (cond-mat.mtrl-sci) ,Cutoff frequency ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Transmission electron microscopy ,symbols ,Optoelectronics ,business ,Raman spectroscopy - Abstract
Up to two layers of epitaxial graphene have been grown on the Si-face of two-inch SiC wafers exhibiting room-temperature Hall mobilities up to 1800 cm^2/Vs, measured from ungated, large, 160 micron x 200 micron Hall bars, and up to 4000 cm^2/Vs, from top-gated, small, 1 micron x 1.5 micron Hall bars. The growth process involved a combination of a cleaning step of the SiC in a Si-containing gas, followed by an annealing step in Argon for epitaxial graphene formation. The structure and morphology of this graphene has been characterized using AFM, HRTEM, and Raman spectroscopy. Furthermore, top-gated radio frequency field effect transistors (RF-FETs) with a peak cutoff frequency fT of 100 GHz for a gate length of 240 nm were fabricated using epitaxial graphene grown on the Si face of SiC that exhibited Hall mobilities up to 1450 cm^2/Vs from ungated Hall bars and 1575 cm^2/Vs from top-gated ones. This is by far the highest cut-off frequency measured from any kind of graphene., 30 pages (double line spacing). Submitted
- Published
- 2010
- Full Text
- View/download PDF
8. Graphene RF Transistor Performance
- Author
-
Christos D. Dimitrakopoulos, Alberto Valdes-Garcia, Hsin-Ying Chiu, Alfred Grill, Damon B. Farmer, Keith Jenkins, Yu-Ming Lin, and Phaedon Avouris
- Subjects
Frequency response ,Materials science ,Silicon ,business.industry ,Graphene ,Transistor ,Gate length ,chemistry.chemical_element ,law.invention ,chemistry ,law ,Optoelectronics ,Wafer ,Electronics ,business - Abstract
Recent excitement about graphene as a possible material for high-frequency electronics has lead to demonstrations of high-frequency field-effect transistors (FETs) in the last two years. Although graphene FETs operate by a different principle than silicon MOSFETs, and have different dc characteristics, their ac properties are quite similar. Hence the high intrinsic mobility of graphene leads to the expectation of high frequency operation of gated graphene FETs. Demonstrations of frequency response in the GHz regime have been shown using both exfoliated flakes and synthesized graphene. An intrinsic cut-off frequency as high as 100 GHz has been achieved in a 240-nm-gate graphene FET fabricated on a 2" wafer of epitaxially-grown graphene. This value exceeds that of Si MOSFETs at the same gate length, illustrating the potential of graphene for RF applications.
- Published
- 2010
- Full Text
- View/download PDF
9. Porous pSiCOH Ultralow-k Dielectrics for Chip Interconnects Prepared by PECVD
- Author
-
Alfred Grill
- Subjects
Fabrication ,Materials science ,business.industry ,Plasma-enhanced chemical vapor deposition ,Optoelectronics ,General Materials Science ,Dielectric ,Chemical vapor deposition ,Thin film ,Porous medium ,business ,Porosity ,Amorphous solid - Abstract
Porous pSiCOH materials with ultralow dielectric constants (ulk) have been developed with dielectric constants reaching values below 2.0. The pSiCOH films, composed of Si, C, O, and H atoms, are prepared by plasma-assisted chemical vapor deposition (PECVD), showing that PECVD can be used to prepare porous materials. The pSiCOH films are deposited as dual-phase materials, and the porosity is formed in them by curing the as-deposited films by thermal anneals, electron beams, or UV irradiation. The porous pSiCOH dielectrics are suitable for integration in the interconnects of VLSI chips of the 45-nm-and-beyond technology nodes, and films with dielectric constants of 2.4 have already been implemented in upcoming 45-nm products. This review discusses the fabrication of pSiCOH films and the characterization of their structure, porosity, and electrical and mechanical properties. The limitations of some of the characterization techniques of these amorphous thin films are also addressed.
- Published
- 2009
- Full Text
- View/download PDF
10. Optimizing ULK film properties to enable BEOL integration with TDDB reliability
- Author
-
Hosadurga Shobha, Kumar Virwani, Kang Sub Yim, Deepika Priyadarshini, M. Stolfi, E. Todd Ryan, Stephen M. Gates, Alfred Grill, Anita Madan, Eric G. Liniger, Chen Jim C, E. Adams, Alexandros T. Demos, D. Collins, and Elbert E. Huang
- Subjects
Reliability (semiconductor) ,Materials science ,law ,Logic gate ,Electronic engineering ,Time-dependent gate oxide breakdown ,Integrated circuit ,Dielectric ,Porosity ,Engineering physics ,Scaling ,Capacitance ,law.invention - Abstract
Increasing circuit density in multilevel back-end-of line (BEOL) interconnects is necessary to improve integrated circuit performance and area scaling. Ultra low-k (ULK) dielectrics are used to minimize capacitance for lower power consumption and better capacitance-resistance (RC) performance. However, these materials pose integration and reliability challenges, which have limited our ability to scale the dielectric constant lower.1 Minimizing porosity, maximizing carbon content, and altering how carbon is bonded in porous SiCOH films reduces plasma-induced damage (PID) to the ULK and improves TDDB reliability, but these improvement must be balanced by maintaining other film properties such as elastic modulus. This paper describes one technique to achieve this combination of high carbon content and low porosity to allow k scaling while meeting integration and reliability requirements.
- Published
- 2015
- Full Text
- View/download PDF
11. Induced e-beam charge impact on spatial orientation of gate-all-around silicon wires device fabricated on boron nitride substrate
- Author
-
Leathen Shi, Guy M. Cohen, Sarunya Bangsaruntip, Shimon Levi, Deborah A. Neumayer, Alfred Grill, Konstantin Chirko, and Ofer Adan
- Subjects
Materials science ,Silicon ,business.industry ,Scanning electron microscope ,Nanowire ,chemistry.chemical_element ,Strained silicon ,Nanotechnology ,Substrate (electronics) ,chemistry.chemical_compound ,chemistry ,Boron nitride ,Electron beam processing ,Optoelectronics ,business ,Beam (structure) - Abstract
For Gate-all-around (GAA) MOSFETs the nanowires are suspended between source and drain anchors allowing conformal deposition of the gate around (i.e., GAASiNW) the silicon nanowire channel. 3DSEM measurement show that silicon wires tend to buckle between the source and drain anchors as function of their diameter and length. This phenomenon can impact device performance and therefore needs to be characterized. Resent metrology research performed on Silicon nanowires fabricated over a Boron Nitride (BN) layer demonstrated that Silicon nanowires spatial orientation is influenced by local electrostatic charge induced by the SEM electron beam irradiation. The scanning electron beam leads to charging of the floating conductive silicon wires and dielectric BN layer. Difference in charging mechanisms of the two materials lead to the formation of Coulomb forces acting between the wires and the BN layer. We were able to change the spatial orientation of Silicon nanowires by modifying scanning conditions which effectively controls the amount of charging induced by the SEM. Strong charging, which corresponds to high dose leads to change of silicon wires spatial orientation, they appear straight in SEM top view and tilt image planes. Reducing charging by the means of scan rate increase or lower number of scanned frames saves the silicon wires buckled in their natural state.
- Published
- 2015
- Full Text
- View/download PDF
12. Nanoindentation Analysis of Mechanical Properties of Low to Ultralow Dielectric Constant SiCOH Films
- Author
-
S. I. Rokhlin, L. Wang, M. Ganor, and Alfred Grill
- Subjects
Materials science ,Scanning electron microscope ,Mechanical Engineering ,Modulus ,Chemical vapor deposition ,Dielectric ,Nanoindentation ,Condensed Matter Physics ,Mechanics of Materials ,Plasma-enhanced chemical vapor deposition ,Indentation ,General Materials Science ,Thin film ,Composite material - Abstract
Carbon-doped oxide SiCOH films with low to ultralow dielectric constants were prepared on a Si substrate by plasma-enhanced chemical vapor deposition (PECVD) from mixtures of SiCOH precursors with organic materials. The films have different levels of nanoscale porosity resulting in different dielectric constants and mechanical properties. The mechanical properties of the films have been characterized by continuous-stiffness nanoindentation measurements. To study the effect of film thickness, each group of samples with the same dielectric constant was composed of samples prepared with different film thicknesses. It is shown that the effective hardness and modulus of the SiCOH/Si substrate system depends significantly on indentation depth due to substrate constraint effects. The “true” film properties were determined using both an empirical formulation of the effective modulus and direct inversion based on a finite element model. The hardness and modulus of three groups of samples with different degrees of dielectric constants have been measured. The hardness increases from 0.7 to 2.7 GPa and modulus from 3.6 to 17.0 GPa as the dielectric constants change from 2.4 to 3.0. While for stiffer films the modulus measured at an indentation depth 10% of the film thickness is close to the “true” value for films thicker than 0.5 μm, the measured value can give an overestimate of up to 35% for softer films. Thin film cracking and film–substrate debonding have been observed with scanning electron and atomic force microscopy at the indentation sites in softer films. The damage initiation is indicated by pop-in events in the loading curve and sharp peaks in the normalized contact stiffness curves versus indentation depth.
- Published
- 2005
- Full Text
- View/download PDF
13. Superlow Friction of Diamond-Like Carbon Films: A Relation to Viscoplastic Properties
- Author
-
Alfred Grill, Jean-Luc Loubet, T. Le Mogne, and Julien Fontaine
- Subjects
Materials science ,Diamond-like carbon ,Mechanical Engineering ,Surfaces and Interfaces ,Strain rate ,Nanoindentation ,Tribology ,Surfaces, Coatings and Films ,Carbon film ,Amorphous carbon ,Mechanics of Materials ,Indentation ,Composite material ,Tribometer - Abstract
Composition, structure, electrical, optical, mechanical properties and tribological behavior of diamond-like carbon films (DLC) are strongly dependent on the deposition system. Some hydrogenated amorphous carbon films (a-C:H) may exhibit superlow friction properties in ultra-high vacuum (UHV). The present paper compares tribological and mechanical properties of several DLC films prepared under different conditions. Friction coefficients were measured in an analytical ultra-high vacuum tribometer. The mechanical properties were evaluated from force-displacement curves using a nanoindentation instrument. Making use of continuous stiffness mode, Young's modulus and hardness were determined as a function of indentation depth. The measurements were performed at constant strain rates by special control of the load during indentation. We were, thus, able to determine the dependence of hardness on strain rate, characterizing a viscoplastic behavior. Many of the hydrogenated amorphous carbon films studied were significantly viscoplastic. The aim of this paper is to highlight the correlation between superlow friction and viscoplastic behavior.
- Published
- 2004
- Full Text
- View/download PDF
14. How to restore superlow friction of DLC: the healing effect of hydrogen gas
- Author
-
Alfred Grill, Michel Belin, Julien Fontaine, and Thierry Le Mogne
- Subjects
Friction coefficient ,Materials science ,Diamond-like carbon ,Hydrogen ,Mechanical Engineering ,Ultra-high vacuum ,chemistry.chemical_element ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Amorphous carbon ,chemistry ,Mechanics of Materials ,Degradation (geology) ,Carbon coating ,Composite material ,Short duration - Abstract
Among diamond-like carbon coatings, hydrogenated amorphous carbon films have the characteristic of exhibiting superlow friction under ultra-high vacuum. However, most of the films reach this superlow friction regime only for a very short duration. The role of hydrogen on such phenomena is paramount. This paper focuses on the loss of superlow friction and on its restoration through the introduction of hydrogen gas in the friction (or sliding) environment. “Triboscopy”, which is a special way of plotting friction data, enabled the understanding of the degradation of the friction coefficient and the healing mechanisms by hydrogen.
- Published
- 2004
- Full Text
- View/download PDF
15. Frequency Response of Top-Gated Carbon Nanotube Field-Effect Transistors
- Author
-
Joerg Appenzeller, D.V. Singh, Deborah A. Neumayer, Keith A. Jenkins, Alfred Grill, and Hon-Sum Philip Wong
- Subjects
Frequency response ,Materials science ,business.industry ,System of measurement ,Transistor ,Electrical engineering ,Carbon nanotube ,Input impedance ,Computer Science Applications ,law.invention ,Switching time ,Nanoelectronics ,law ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,business - Abstract
The ac performance of carbon nanotube field-effect transistors (CNFETs) has been characterized using two approaches involving: 1) time- and 2) frequency-domain measurements. A high input impedance measurement system was used to demonstrate time-domain switching of CNFETs at frequencies up to 100 kHz. The low level of signal crosstalk in CNFETs fabricated on quartz substrates enabled frequency-domain measurements of the ac response of CNFETs in the megahertz range, over five orders of magnitude higher in frequency than previously reported ac measurements of CNFET devices.
- Published
- 2004
- Full Text
- View/download PDF
16. Structural characterization of porous low-kthin films prepared by different techniques using x-ray porosimetry
- Author
-
Eric K. Lin, Hae-Jeong Lee, Wen-Li Wu, Alfred Grill, Da-Wei Liu, Barry J. Bauer, and Christopher L. Soles
- Subjects
Permittivity ,Materials science ,Analytical chemistry ,General Physics and Astronomy ,Porosimetry ,Dielectric ,Small-angle neutron scattering ,Silsesquioxane ,X-ray reflectivity ,chemistry.chemical_compound ,chemistry ,Thin film ,Composite material ,Porosity - Abstract
Three different types of porous low-k dielectric films, with similar dielectric constants, are characterized using x-ray porosimetry (XRP). XRP is used to extract critical structural information, such as the average density, wall density, porosity, and pore size distribution. The materials include a plasma-enhanced-chemical-vapor-deposited carbon-doped oxide film composed of Si, C, O, and H (SiCOH) and two spin cast silsesquioxane type films—methylsilsesquioxane with a polymeric porogen (porous MSQ) and hydrogensilsesquioxane with a high boiling point solvent (porous HSQ). The porous SiCOH film displays the smallest pore sizes, while porous HSQ film has both the highest density wall material and porosity. The porous MSQ film exhibits a broad range of pores with the largest average pore size. We demonstrate that the average pore size obtained by the well-established method of neutron scattering and x-ray reflectivity is in good agreement with the XRP results.
- Published
- 2004
- Full Text
- View/download PDF
17. Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization
- Author
-
Deborah A. Neumayer and Alfred Grill
- Subjects
Materials science ,Annealing (metallurgy) ,Analytical chemistry ,Oxide ,General Physics and Astronomy ,Infrared spectroscopy ,Dielectric ,Chemical vapor deposition ,Dissociation (chemistry) ,chemistry.chemical_compound ,Chemical engineering ,chemistry ,Plasma-enhanced chemical vapor deposition ,Fourier transform infrared spectroscopy - Abstract
Carbon doped oxide dielectrics comprised of Si, C, O, and H (SiCOH) have been prepared by plasma enhanced chemical vapor deposition (PECVD) from mixtures of tetramethylcyclotetrasiloxane (TMCTS) and an organic precursor. The films have been analyzed by determining their elemental composition and by Fourier transform infrared spectroscopy with deconvolution of the absorption peaks. The analysis has shown that PECVD of TMCTS produces a highly crosslinked networked SiCOH film. Dissociation of TMCTS appears to dominate the deposition chemistry as evidenced by the multitude of bonding environments and formation of linear chains and branches. Extensive crosslinking of TMCTS rings occurs through Si–Si, Si–CH2–Si, Si–O–Si, and Si–CH2–O–Si moieties. The films deposited from mixtures of TMCTS and organic precursor incorporate hydrocarbon fragments into the films. This incorporation occurs most probably through the reaction of the organic precursor and the Si–H bonds of TMCTS. Annealing the SiCOH films deposited fro...
- Published
- 2003
- Full Text
- View/download PDF
18. High-quality crystalline layer transfer from a silicon-on-insulator substrate onto a sapphire substrate using wafer bonding
- Author
-
S.J. Koester, Alfred Grill, D.V. Singh, K. W. Guarini, Leathen Shi, and Patricia M. Mooney
- Subjects
Materials science ,Silicon ,business.industry ,Wafer bonding ,Silicon on insulator ,chemistry.chemical_element ,Mineralogy ,Substrate (electronics) ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,Materials Chemistry ,Sapphire ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,Thin film ,business ,Layer (electronics) - Abstract
We demonstrate layer transfer of 150 nm of Si from a 200-mm, silicon-on-insulator (SOI) substrate onto a sapphire substrate using low-temperature wafer bonding (T=150°C). The crystalline quality and the thermal stability of the transferred Si layer were characterized by x-ray diffraction (XRD). A broadening of the (004) Si peak is observed only for anneal temperatures TA≥800°C, indicating some degradation of the crystalline quality of the transferred Si film above these temperatures. The measured electron Hall mobility in the bonded Si layer is comparable to bulk silicon for TA≤800°C, indicating excellent material quality.
- Published
- 2003
- Full Text
- View/download PDF
19. Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study
- Author
-
Mikhail R. Baklanov, E. Huang, Konstantin P. Mogilnikov, Vishnubhai Vitthalbhai Patel, Michael F. Toney, Kenneth P. Rodbell, Hyungjun Kim, and Alfred Grill
- Subjects
Permittivity ,Materials science ,Annealing (metallurgy) ,Analytical chemistry ,General Physics and Astronomy ,Dielectric ,Chemical vapor deposition ,Porosimetry ,Spectroscopy ,Porosity ,Positron annihilation spectroscopy - Abstract
The low dielectric constant (k) of plasma enhanced chemical vapor deposited SiCOH films has been attributed to porosity in the films. We have shown previously that the dielectric constant of such materials can be extended from the typical k values of 2.7–2.9 to ultralow-k values of k=2.0. The reduction in the dielectric constants has been achieved by enhancing the porosity in the films through the addition of an organic material to the SiCOH precursor and annealing the films to remove the thermally less-stable organic fractions. In order to confirm the relation between dielectric constant and film porosity the latter has been evaluated for SiCOH films with k values from 2.8 to 2.05 using positron annihilation spectroscopy, positron annihilation lifetime spectroscopy, small angle x-ray scattering, specular x-ray reflectivity, and ellipsometric porosimetry measurements. It has been found that the SiCOH films with k=2.8 had no detectable porosity, however the porosity increased with decreasing dielectric constant reaching values of 28%–39% for k values of 2.05. The degree of porosity and the pore size determined by the dissimilar techniques agreed within reasonable limits, especially when one takes into account the small pore size in these films and the different assumptions used by the different techniques. The pore size increases with decreasing k, however the diameter remains below 5 nm for k=2.05, most of the pores being smaller than 2.5 nm.
- Published
- 2003
- Full Text
- View/download PDF
20. Plasma enhanced chemical vapor deposited SiCOH dielectrics: from low-kto extreme low-kinterconnect materials
- Author
-
Alfred Grill
- Subjects
Permittivity ,chemistry.chemical_compound ,Materials science ,Chemical engineering ,chemistry ,Plasma-enhanced chemical vapor deposition ,Annealing (metallurgy) ,Oxide ,General Physics and Astronomy ,Plasma ,Dielectric ,Porosity ,Thermal expansion - Abstract
Carbon doped oxide dielectrics comprised of Si, C, O, and H (SiCOH) have been prepared by plasma enhanced chemical vapor deposition. Low-k films with a dielectric constant (k) of about 2.8 have been deposited from tetramethylcyclotetrasiloxane (TMCTS). The dielectric constant has been further reduced to extreme low-k values of k
- Published
- 2003
- Full Text
- View/download PDF
21. Diamond-like carbon coatings as biocompatible materials—an overview
- Author
-
Alfred Grill
- Subjects
Materials science ,Biocompatibility ,Diamond-like carbon ,Mechanical Engineering ,chemistry.chemical_element ,Nanotechnology ,General Chemistry ,Biocompatible material ,Electronic, Optical and Magnetic Materials ,Corrosion ,Amorphous solid ,Carbon film ,chemistry ,Amorphous carbon ,Materials Chemistry ,Electrical and Electronic Engineering ,Carbon - Abstract
Amorphous hydrogenated diamond-like carbon (DLC) and tetrahedral carbon (taC) films are characterized by high wear resistance, low friction coefficients and chemical inertness, thus high-corrosion resistance. The properties of DLC and taC can further be modified by incorporating other elements in the films, such as N, F, Si and metals, thus tailoring them for specific applications. The films can be deposited as conformal, very smooth layers. These properties make the films good candidates as biocompatible coatings for biomedical devices and tools. The paper presents on overview of the biomedical characteristics of different varieties of amorphous carbon and their potential applications.
- Published
- 2003
- Full Text
- View/download PDF
22. Extreme-low k porous pSiCOH dielectrics prepared by PECVD
- Author
-
Devika Sil, Alfred Grill, Thomas M. Shaw, Han You, Matthew T. Shoudy, Eric G. Liniger, Mark Raymond, Donald F. Canaperi, Anita Madan, Donald Dorman, Petra Mennell, Tsong-Lin Leo, and Stephan A. Cohen
- Subjects
010302 applied physics ,Permittivity ,Fabrication ,Materials science ,Plasma parameters ,Process Chemistry and Technology ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Chemical engineering ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,0210 nano-technology ,Porosity ,Porous medium ,Instrumentation ,Curing (chemistry) - Abstract
A novel extreme low-k (ELK) porous SiCOH (pSiCOH) dielectric has been developed by adding a third carbosilane precursor to the diethoxymethylsilane and bicycloheptadiene precursors used in the plasma enhanced chemical vapor deposition fabrication process of ultralow-k pSiCOH interconnect dielectrics. By adjusting the plasma parameters, the dielectric constant (k) has been lowered to k
- Published
- 2018
- Full Text
- View/download PDF
23. In Situ Co/SiC(N,H) Capping Layers for Cu/Low- k Interconnects
- Author
-
C.-C. Yang, Hosadurga Shobha, Joseph F. Aubuchon, Weifeng Ye, Daniel C. Edelstein, Son Nguyen, M. Shek, Baozhen Li, and Alfred Grill
- Subjects
In situ ,Materials science ,chemistry ,Analytical chemistry ,chemistry.chemical_element ,Dielectric ,Chemical vapor deposition ,Electrical and Electronic Engineering ,Selective deposition ,Cobalt ,Electromigration ,Copper ,Electronic, Optical and Magnetic Materials - Abstract
Co films with various thicknesses were selectively deposited as Cu capping layers by chemical vapor deposition. Both in situ and ex situ Co/SiC(N,H) capping processes were evaluated and have shown comparable parametrics to the control reference. For the ex situ capping process, the degree of electromigration (EM) resistance enhancement was observed to be dependent on the deposited Co thickness. Without increasing the Co cap thickness, further EM lifetime enhancement was observed from the in situ capping process.
- Published
- 2012
- Full Text
- View/download PDF
24. Effects of precursor additives on the stability of plasma enhanced chemical vapor deposited a-GeC(O):H films
- Author
-
Vishnubhai Vitthalbhai Patel, Katherina Babich, S. Guilley, and Alfred Grill
- Subjects
Argon ,Materials science ,Hydrogen ,Mechanical Engineering ,Direct current ,Analytical chemistry ,chemistry.chemical_element ,Germanium ,Chemical vapor deposition ,Molar absorptivity ,Condensed Matter Physics ,Oxygen ,chemistry ,Mechanics of Materials ,General Materials Science ,Carbon - Abstract
Germanium- and carbon-based films were deposited by plasma-enhanced chemical vapor deposition from tetramethylgermane (TMGe) with additions of oxygen, hydrogen, or argon. The index of refraction, extinction coefficient, and optical gap and Fourier transform infrared spectra of the films were measured as well as their stability in regular ambiance. It was found that the films deposited from pure TMGe were stable in time only if deposited at a negative bias above −250 V direct current. Films deposited at a bias of −150 V direct current could be stabilized by significant additions of oxygen to the plasma and complete stabilization was achieved at O2/TMGe ratios larger than 3 in the gas feed when GeOx films containing small amounts of C and H were obtained. Additions of hydrogen or argon to TMGe had only slight effects in improving the stability off the films.
- Published
- 2002
- Full Text
- View/download PDF
25. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH: Reactive ion etching and dielectric recovery
- Author
-
Huai Huang, Alfred Grill, Hosadurga Shobha, John N. Myers, Zhan Chen, and Xiaoxian Zhang
- Subjects
Auger electron spectroscopy ,Materials science ,Sum-frequency generation ,Physics and Astronomy (miscellaneous) ,Analytical chemistry ,Infrared spectroscopy ,02 engineering and technology ,Dielectric ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Amorphous solid ,Auger ,Plasma-enhanced chemical vapor deposition ,Reactive-ion etching ,0210 nano-technology - Abstract
Molecular structures at the surface and buried interface of an amorphous ultralow-k pSiCOH dielectric film were quantitatively characterized before and after reactive ion etching (RIE) and subsequent dielectric repair using sum frequency generation (SFG) vibrational spectroscopy and Auger electron spectroscopy. SFG results indicated that RIE treatment of the pSiCOH film resulted in a depletion of ∼66% of the surface methyl groups and changed the orientation of surface methyl groups from ∼47° to ∼40°. After a dielectric recovery process that followed the RIE treatment, the surface molecular structure was dominated by methyl groups with an orientation of ∼55° and the methyl surface coverage at the repaired surface was 271% relative to the pristine surface. Auger depth profiling indicated that the RIE treatment altered the top ∼25 nm of the film and that the dielectric recovery treatment repaired the top ∼9 nm of the film. Both SFG and Auger profiling results indicated that the buried SiCNH/pSiCOH interface ...
- Published
- 2017
- Full Text
- View/download PDF
26. Advanced single precursor based pSiCOH k = 2.4 for ULSI interconnects
- Author
-
Deepika Priyadarshini, James H.-C. Chen, Huai Huang, Alfred Grill, Eric G. Liniger, Son V. Nguyen, Hosadurga Shobha, and Stephan A. Cohen
- Subjects
010302 applied physics ,Pore size ,Interconnection ,Materials science ,Dielectric strength ,business.industry ,Process Chemistry and Technology ,Modulus ,02 engineering and technology ,Dielectric ,Pore interconnectivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Octamethylcyclotetrasiloxane ,Capacitance ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,0103 physical sciences ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Instrumentation - Abstract
A single precursor, octamethylcyclotetrasiloxane (OMCTS), was used to develop a pSiCOH interconnect dielectric with an ultralow dielectric constant k = 2.4. With no added porogen, the advanced pSiCOH dielectric has low pore size and low pore interconnectivity. The new OEx2.4 dielectric has a high carbon content with a significant fraction in the form of Si-CH2-Si bridging bond resulting in a film with relatively high modulus and increased resistance to process induced damage. The new OEx2.4 film shows significant improvement in device reliability (time dependent dielectric breakdown) over the reference k 2.55 and other k 2.4 dielectrics. This dielectric not only addresses the integration challenges but also provides capacitance benefit by retaining an overall lower integrated k value over the reference films. The results discussed in this paper indicate that the single-precursor OMCTS-based advanced pSiCOH, OEX2.4 dielectric is a strong candidate for sub-10 nm Cu/low k interconnects.
- Published
- 2017
- Full Text
- View/download PDF
27. CD-SEM metrology evaluation of gate-all-around Si nanowire MOSFET with improved control of nanowire suspension by using a buried boron nitride etch-stop layer
- Author
-
Guy M. Cohen, Alfred Grill, Ofer Adan, Sarunya Bangsaruntip, Deborah A. Neumayer, Shimon Levi, Maayan Bar Tzi, Ori Shoval, Amiad Conley, Leathen Shi, and Yakov Weinberg
- Subjects
Fabrication ,Materials science ,business.industry ,Nanowire ,Silicon on insulator ,Metrology ,chemistry.chemical_compound ,chemistry ,Boron nitride ,MOSFET ,Electronic engineering ,Optoelectronics ,Wafer ,business ,Layer (electronics) - Abstract
In this work, we report a new fabrication method of Si nanowires that enables an accurate control of the suspension gap underneath the Si wire. It is achieved by using SOI wafers with an embedded boron nitride (BN) etch-stop layer. Physical characterization of the Si wires was performed with a 3D-CDSEM, measurement results are compared with the process of record where conventional SOI wafers are used. Metrology measurements provide new insights on the effect of SEM induced charge in altering the buckling orientation of imaged Si wires.
- Published
- 2014
- Full Text
- View/download PDF
28. Advanced metal and dielectric barrier cap films for Cu low k interconnects
- Author
-
D. Collins, Andrew H. Simon, M. Stolfi, Donald F. Canaperi, Son Nguyen, Mihaela Balseanu, Deepika Priyadarshini, Stephan A. Cohen, Daniel C. Edelstein, Jay S. Burnham, E. Adams, Griselda Bonilla, Hosadurga Shobha, Timothy M. Shaw, C. Parks, J. Ren, Chenming Hu, Kavita Shah, Alfred Grill, and Eric G. Liniger
- Subjects
Materials science ,chemistry.chemical_element ,Plasma ,Chemical vapor deposition ,Activation energy ,Dielectric ,Electromigration ,Copper ,Metal ,chemistry ,visual_art ,visual_art.visual_art_medium ,Electronic engineering ,Composite material ,Cobalt - Abstract
Multi-layer SiN barrier film with high breakdown and low leakage is developed for Cu low k interconnects and is compared with the SiCNH barrier film used at previous technology nodes. Ultra-thin SiN barrier cap film also provides high conformality and fills recess in Cu lines observed post CMP. A significant enhancement in electro migration (EM) performance was obtained by selectively depositing Co on top of Cu lines followed by conformal multi-layer SiN barrier film. Further EM lifetime improvement is obtained by using a Co liner to form a wrap around structure with completely encapsulated Cu. An integrated in-situ preclean/ metal/dielectric cap chamber was used to avoid any oxidation of Cu/Co layers. Kinetic studies of CVD Co liner/Co cap samples show significant increase in EM activation energy (1.7 eV) over samples with dielectric only barrier film (0.9–1 eV). The complete wrap around structure with Co liner and Co cap shows improved device reliability.
- Published
- 2014
- Full Text
- View/download PDF
29. Tribochemistry between hydrogen and diamond-like carbon films
- Author
-
Alfred Grill, T LeMogne, Julien Fontaine, and C. Donnet
- Subjects
Inert ,Work (thermodynamics) ,Carbonaceous film ,Argon ,Materials science ,Hydrogen ,Diamond-like carbon ,Diffusion ,chemistry.chemical_element ,Surfaces and Interfaces ,General Chemistry ,Tribology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,chemistry ,Chemical engineering ,Materials Chemistry - Abstract
The objective of the present work is to propose a model related to the role of hydrogen on the friction mechanism of DLC films. An up-to-date review of the effect of hydrogen on the tribology of DLC films is presented first. Selected experiments performed on two model hydrogenated DLC films are then presented to demonstrate how hydrogen, both as a constituent of the carbonaceous film or as a gaseous species introduced in the surrounding environment during the friction process can influence the intermediate and steady-state friction regimes, in the absence of any oxidating species. For the film with the highest hydrogen content, superlow friction (10−3 range) is reached rapidly in an ultrahigh vacuum. For the film containing the lowest hydrogen content, the combination of a controlled temperature during friction (150°C) with hydrogen diffusion from the bulk of the film towards the sliding activated surfaces of the hydrogen carbon-to-carbon is responsible for an intermediate period with friction in the 10−3 to 10−2 range. Then the steady-state friction coefficient rises up to 0.6, typical for low hydrogenated a-C:H films in vacuum or inert atmospheres. A superlow friction steady-state regime may be controlled over longer periods by introducing a significant pressure of pure hydrogen surrounding the contact during the friction process. Argon at the same pressure does not have any similar lubricating effects. Tribochemistry between hydrogen and the carbonaceous network is thus responsible for the control of the superlow friction regime observed with a-C:H coatings in selected conditions of film composition and atmosphere.
- Published
- 2001
- Full Text
- View/download PDF
30. Tribological and mechanical properties of diamond-like carbon prepared by high-density plasma
- Author
-
C. Donnet, Christopher V. Jahnes, Vishnubhai Vitthalbhai Patel, Michel Belin, Alfred Grill, Juan Carlos Sánchez-López, and Jean-Luc Loubet
- Subjects
Materials science ,Diamond-like carbon ,Mechanical Engineering ,Material properties of diamond ,General Chemistry ,Chemical vapor deposition ,Nanoindentation ,Electronic, Optical and Magnetic Materials ,Carbon film ,Plasma-enhanced chemical vapor deposition ,Materials Chemistry ,Electrical and Electronic Engineering ,Composite material ,Elastic modulus ,Tribometer - Abstract
Diamond-like carbon films (DLC) have been deposited by plasma-enhanced chemical vapor deposition using a commercial r.f. high-density plasma (HDP). The hardness and elastic modulus have been determined from force–displacement curves using a nanoindentation instrument. The friction coefficients and wear rates have been measured using a pin-on-flat tribometer in ambient air and UHV at maximum Hertzian contact pressure of 1.09 GPa. It was found that the hardness, Young's modulus, and wear resistance of the films increased with decreasing hydrogen content of the films. A viscoplastic behavior has been observed for films with hydrogen content higher than 30% indicating that these films have a softer ‘polymer-like’ structure. The unbound hydrogen present in the HDP films contributes to the enhancement of the viscoplastic behavior of the film and to the decrease of the friction in UHV. The correlation between viscoplastic properties, film structure and tribological behavior of the HDP films is presented and compared to those obtained with samples prepared by d.c. PECVD.
- Published
- 2001
- Full Text
- View/download PDF
31. Amorphous carbon based materials as the interconnect dielectric in ULSI chips
- Author
-
Alfred Grill
- Subjects
Cladding (metalworking) ,Materials science ,business.industry ,Mechanical Engineering ,Oxide ,General Chemistry ,Dielectric ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,Stress (mechanics) ,chemistry.chemical_compound ,Amorphous carbon ,Silicon nitride ,chemistry ,Materials Chemistry ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business - Abstract
The shrinkage of the devices and wiring dimensions in the ULSI chips is associated with an increased resistance of the interconnect metallization and increased interlevel and intralevel capacitances, causing corresponding longer signal delays. Low dielectric constant (k) insulators, with k significantly lower than that of presently used SiO2 are needed for reducing these capacitances and improving the switching performances of future ULSI circuits. Integration of low-k insulators in the ULSI circuits will also reduce the power required to operate them. Diamond-like carbon (DLC) has found a variety of applications based on its attractive mechanical, tribological, optical and chemical resistance properties. The films are also dielectrics whose electrical resistivities can reach values of 1016 Ω-cm at low fields. The DLC-type materials are attractive dielectrics because of their isotropic properties and the ability to deposit them by plasma assisted CVD techniques. However, the amorphous carbon materials with diamond-like properties are characterized by dielectric constants that are not lower than that of SiO2 (k=4). It was found that, by adjusting the deposition conditions of plasma deposited hydrogenated DLC (a-C:H), it is possible to reduce its dielectric constant to values between >3.3 and 2.7. Incorporation of the low-k materials in the ULSI structures imposes a significant number of requirements that they have to satisfy, among them stability at the processing temperature of 400°C. While DLC films having dielectric constants k>3.3 appeared to be stable to anneals of 4 h at 400°C in inert ambiance, the thermal stability decreased with decreasing dielectric constant. Incorporation of fluorine in FDLC films produces a material of apparently higher thermal stability and further reduced dielectric constants, to values even lower then 2.4. The as-deposited low-k DLC or FDLC films may be thermally stabilized, in terms of dimensional stability and material loss, by an initial anneal, that also causes a significant reduction in the intrinsic film stress, typical of DLC type materials. The integration of the low-k films in the interconnect structures further requires good adhesion with thermally stable interfaces to materials in contact with the low-k dielectric. Such materials may include processing aids and structural components such as silicon nitride or oxide, and wire cladding metallurgy. The paper discusses the preparation and characterization of the low-k DLC and FDLC films, approaches for their thermal stabilization and evaluation of integration issues.
- Published
- 2001
- Full Text
- View/download PDF
32. [Untitled]
- Author
-
Alfred Grill, T. Le Mogne, Julien Fontaine, and C. Donnet
- Subjects
chemistry.chemical_classification ,Argon ,Materials science ,Diamond-like carbon ,Hydrogen ,Mechanical Engineering ,chemistry.chemical_element ,Surfaces and Interfaces ,Tribology ,Surfaces, Coatings and Films ,Condensed Matter::Materials Science ,symbols.namesake ,Hydrocarbon ,chemistry ,Chemical bond ,Mechanics of Materials ,symbols ,Physics::Atomic Physics ,Graphite ,van der Waals force ,Composite material - Abstract
The structure, properties and tribological behavior of DLC films are dependent on the deposition process, the hydrogen concentration and chemical bondings in the films. The present paper reports selected tribological experiments on model DLC films with different hydrogen contents. The experiments were performed in ultrahigh vacuum or in an atmosphere of pure hydrogen or argon in order to elucidate various friction mechanisms. Two typical friction regimes are identified. High steady-state friction in UHV (friction coefficient of 0.6) is observed for the lowest hydrogenated and mostly sp2-bonded DLC film. Superlow steady-state friction (friction coefficient in the millirange) is observed both for the highest hydrogenated film in UHV, and for the lowest hydrogenated film in an atmosphere of hydrogen (10 hPa). The high steady-state friction in UHV, observed for the lowest hydrogenated film with a dominant sp2 carbon hybridization, is associated with a π–π* sub-band overlap responsible for an increased across-the-plane chemical bonding with a high shear strength similar to what is observed with unintercalated graphite in the same UHV conditions. Superlow friction is correlated with a hydrogen saturation across the shearing plane through weak van der Waals interactions between the polymer-like hydrocarbon top layers. This regime is observed during the steady-state period if the film contains enough hydrogen incorporated during deposition. If this condition is not satisfied (i.e., for the film with the lowest hydrogen content), the limited diffusion of hydrogen from the film network towards the sliding surfaces seems to be responsible for a superlow running-in period. The superlow friction level can be reached over longer time periods by suitable combinations of temperature and molecular hydrogen present in the surrounding atmosphere during friction.
- Published
- 2001
- Full Text
- View/download PDF
33. Diamond-like carbon prepared by high density plasma
- Author
-
Michel Belin, Juan Carlos Sánchez-López, Julien Fontaine, Vishnubhai Vitthalbhai Patel, Alfred Grill, C. Donnet, and Christopher V. Jahnes
- Subjects
Materials science ,Diamond-like carbon ,Mechanical Engineering ,Analytical chemistry ,chemistry.chemical_element ,General Chemistry ,Chemical vapor deposition ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Carbon film ,Acetylene ,chemistry ,Physics::Plasma Physics ,Plasma-enhanced chemical vapor deposition ,Materials Chemistry ,Electrical and Electronic Engineering ,Fourier transform infrared spectroscopy ,Carbon ,Tribometer - Abstract
This paper will present physical and tribological properties of diamond-like carbon (DLC) films deposited by plasma-enhanced chemical vapor deposition using a commercial RF high density plasma (HDP). The films have been prepared from acetylene or acetylene+hydrogen mixtures using a range of HDP conditions. The composition and optical properties of the DLC films have been characterized by forward recoil elastic scattering (FRES) and Fourier transform infrared spectroscopy (FTIR). The tribological properties have been measured in ambient air and in dry nitrogen using a pin-on-flat tribometer. While the friction coefficients in air (
- Published
- 2000
- Full Text
- View/download PDF
34. Oxygen Stoichiometry in PdOxand PdOx/Pt Electrode Layers During Processing of Ferroelectric and High-epsilon Perovskites
- Author
-
Deborah A. Neumayer, Katherine L. Saenger, Cyril Cabral, P. R. Duncombe, and Alfred Grill
- Subjects
Diffraction ,Inert ,Materials science ,Mechanical Engineering ,chemistry.chemical_element ,Condensed Matter Physics ,Oxygen ,Ferroelectricity ,Overlayer ,Non-volatile memory ,chemistry ,Chemical engineering ,Mechanics of Materials ,Electrode ,General Materials Science ,Layer (electronics) - Abstract
High-epsilon (HE) and ferroelectric (FE) perovskites such as (Ba, Sr)TiO3 and SrBi2Ta2O9 are attracting substantial interest for use in dynamic random-access memory and nonvolatile memory. In this paper, we describe how an easily decomposable PdO bottom electrode layer may be used as a marker for possible HE/FE damage induced by exposure to reducing environments. Oxygen loss from PdO films with and without a HE/FE overlayer was monitored by in situ x-ray diffraction during heating in an inert ambient. Additional measurements were performed on PdO films in contact with Pt underlayers. A Pt underlayer was found to reduce the temperature of oxygen release from PdO, suggesting that it may be possible to custom-design PdO-based oxygen sources with specific oxygen release characteristics to resupply the HE/FE with oxygen lost during processing.
- Published
- 2000
- Full Text
- View/download PDF
35. Electrical and optical properties of diamond-like carbon
- Author
-
Alfred Grill
- Subjects
Materials science ,Diamond-like carbon ,Orders of magnitude (temperature) ,Material properties of diamond ,Metals and Alloys ,chemistry.chemical_element ,Nanotechnology ,Surfaces and Interfaces ,Dielectric ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Carbon film ,chemistry ,Electrical resistivity and conductivity ,Materials Chemistry ,Carbon - Abstract
Diamond-like carbon (DLC) films, amorphous hydrogenated or nonhydrogenated forms of carbon, are metastable amorphous materials characterized by a range of attractive mechanical, chemical, tribological, as well as optical and electrical properties. The films can be prepared at low temperatures, from a large variety of precursors, by a diversity of techniques, and their characteristics can be modified by incorporation of different elements such as N, F, Si, or metals. The diversity of methods used for the deposition of DLC films provides the flexibility to tailor their properties according to specific needs and potential applications. The optical gap of these materials is in the range of 1–4 eV and the electrical resistivity spans 14 orders of magnitude. The dielectric constant of DLC films covers the range of 2.5–6. The talk will review the optical and electrical characteristics of DLC and discuss the actual and potential applications based on these properties.
- Published
- 1999
- Full Text
- View/download PDF
36. Fabrication of multilayer ferroelectric films
- Author
-
Deborah A. Neumayer, Thomas M. Shaw, Alfred Grill, Lisa Berndt, Robert B. Laibowitz, Charles T. Black, and P. R. Duncombe
- Subjects
Materials science ,Bismuth titanate ,Inorganic chemistry ,Niobium ,Analytical chemistry ,Tantalum ,chemistry.chemical_element ,Condensed Matter Physics ,Ferroelectricity ,Electronic, Optical and Magnetic Materials ,Tantalate ,Bismuth ,chemistry.chemical_compound ,chemistry ,Control and Systems Engineering ,Electrode ,Materials Chemistry ,Ceramics and Composites ,Electrical and Electronic Engineering ,Titanium - Abstract
Multilayers of strontium bismuth tantalate (Sr0.9Bi2.2Ta2O9, SBT) with bismuth titanate (Bi4Ti3O12, BT), and SBT and strontium bismuth niobate (Sr0.9Bi2.2Nb2O9, SBN) with BT were prepared by chemical solution deposition (CSD). The CSD solutions were a mixture of strontium, tantalum, niobium and titanium butoxyethoxides and bismuth ethylhexanoate dissolved in butoxyethanol. SBT films were fabricated and annealed at 800°C for 60 min. Generally, all capacitors of the SBT films tested had low resistance with as-deposited Pt top electrodes and no ferroelectricity could be measured. After a post electrode anneal at 800°C for 30 min, a percentage of capacitors could be tested for ferroelectricity, and a 2Pr of 15 μC/cm2at 3V was typically measured. Multilayer SBT, and SBT and SBN with BT were rapidly thermally annealed for a total (including post electrode anneal) of 20–40 min at 750°C. The multilayer SBT and SBN with BT, and SBT with BT films exhibited a wide range of 2Pr values ranging from 2–14 μC/cm...
- Published
- 1999
- Full Text
- View/download PDF
37. Al–Ta Bilayer as an Oxidation Resistant Barrier for Electrode Structures in High Dielectric Constant Capacitors
- Author
-
Cyril Cabral and Alfred Grill
- Subjects
Auger electron spectroscopy ,Materials science ,Mechanical Engineering ,Analytical chemistry ,Oxide ,Dielectric ,Condensed Matter Physics ,law.invention ,chemistry.chemical_compound ,chemistry ,Mechanics of Materials ,law ,General Materials Science ,Electrical measurements ,Crystallization ,Layer (electronics) ,Perovskite (structure) ,High-κ dielectric - Abstract
Aluminum-tantalum bilayers have been investigated for their potential to serve as conductive barriers to oxygen diffusion when annealed at conditions corresponding to crystallization of perovskite dielectrics such as lead lanthanum titanate (PLT). Ta (50 nm)/Al (15 nm) structures have been deposited on Si substrates and annealed in oxygen at 650 and 700 °C for various amounts of time. The as-deposited and annealed structures have been characterized by x-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), and Auger electron spectroscopy (AES) analysis and by four-point probe electrical measurements. It has been found that the Al–Ta structures can withstand complete oxidation when exposed to oxygen at 650 °C for 30 min or 700 °C for 1 min and the oxide layer formed at the surface of the structure acts as a barrier to further oxygen diffusion. When a PLT film was deposited directly on the Al–Ta structures intermixing took place. It was therefore necessary to insert a Pt layer between the Al–Ta barrier and PLT layer. In such a case the PLT showed electrical properties similar to those obtained when deposited on SiO2/Pt; however, the Al–Ta structure did interact with Pt during the perovskite formation anneal. It has been found that this interaction can be prevented by preannealing the Al–Ta, in oxygen, prior to the deposition of Pt.
- Published
- 1999
- Full Text
- View/download PDF
38. Layered TaSiN as an Oxidation Resistant Electrically Conductive Barrier
- Author
-
Christopher V. Jahnes, Cyril Cabral, and Alfred Grill
- Subjects
Materials science ,Annealing (metallurgy) ,Mechanical Engineering ,Analytical chemistry ,chemistry.chemical_element ,Dielectric ,Condensed Matter Physics ,Oxygen ,Metal ,chemistry ,Mechanics of Materials ,Electrical resistivity and conductivity ,visual_art ,Electrode ,visual_art.visual_art_medium ,General Materials Science ,Electrical measurements ,Perovskite (structure) - Abstract
TaSiN films deposited as layered TaN–SiN structures of various compositions have been examined for their oxidation resistant properties during annealing in oxygen at annealing conditions commonly used to prepare perovskite dielectrics. The films have been characterized by Rutherford backscattering analysis (RBS), x-ray diffraction (XRD), and electrical resistivity measurements. Films with less than 15 at.% Si showed some resistance to oxidation after annealing for 1 min at 650 °C but became fully oxidized after longer anneals. Increasing the Si content up to 28 at.% increasingly improved the oxidation resistance of the alloys to the point where the films resisted complete oxidation for up to 5 min at 700 °C. For alloys with greater than 28 at.% Si, no oxidation could be detected by RBS or electrical measurements for anneals up to 5 min at 700 °C. Furthermore, these high Si content alloys were still conductive with resistivities of near 1000 μΩ cm. It was also found that TaSiN and lead lanthanum titanate (PLT) interact strongly during annealing, and another nonoxidizing barrier metal, such as Pt, is required between the two materials if TaSiN is to be used as an electrode/barrier with lead-based perovskites.
- Published
- 1999
- Full Text
- View/download PDF
39. Solid state 13C and 1H nuclear magnetic resonance investigations of hydrogenated amorphous carbon
- Author
-
C. Donnet, Christopher V. Jahnes, Vishnubhai Vitthalbhai Patel, F. Lefebvre, Julien Fontaine, and Alfred Grill
- Subjects
Magic angle ,Hydrogen ,Analytical chemistry ,General Physics and Astronomy ,chemistry.chemical_element ,Carbon-13 NMR ,chemistry.chemical_compound ,Nuclear magnetic resonance ,Solid-state nuclear magnetic resonance ,chemistry ,Amorphous carbon ,Acetylene ,Proton NMR ,Carbon - Abstract
Various hydrogenated amorphous carbon films have been analyzed by 13C and 1H nuclear magnetic resonance (NMR) spectroscopies. The films have been deposited from acetylene or cyclohexane by dc plasma enhanced chemical vapor deposition, at various dc biases and gas pressures. The total hydrogen content has been measured by forward recoil elastic scattering (FRES). 13C NMR investigations have been performed in various configurations: high power decoupled to determine the sp2:sp3 carbon ratio, cross polarized at magic angle contact spinning with different contact times to provide information on carbon atoms directly bound to hydrogen, and with dipolar dephasing to study the quaternary carbon atoms. By performing the 13C and 1H NMR measurements on the same samples, it was possible to resolve for the first time the seven different forms of unprotonated and protonated CHx carbon, for both sp2(x=0,1,2) and sp3(x=0,1,2,3) carbon hybridizations, as well as the ratio between bound and unbound hydrogen. The results are discussed and compared with previously published FRES and Fourier transform infrared (FTIR) data. It is shown that NMR and FTIR combined with FRES do not agree systematically on the ratio of bound/unbound hydrogen.
- Published
- 1999
- Full Text
- View/download PDF
40. Diamond-like carbon: state of the art
- Author
-
Alfred Grill
- Subjects
Materials science ,Diamond-like carbon ,Mechanical Engineering ,chemistry.chemical_element ,Nanotechnology ,General Chemistry ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,Amorphous solid ,Carbon film ,Amorphous carbon ,chemistry ,Materials Chemistry ,Deposition (phase transition) ,Carbide-derived carbon ,Electrical and Electronic Engineering ,Carbon - Abstract
Diamond-like carbon films, amorphous hydrogenated or non-hydrogenated forms of carbon, are metastable amorphous materials characterized by attractive mechanical, optical, electrical, chemical and tribological properties. The films can be prepared at low temperatures by different techniques using a large variety of precursors and can be modified by incorporation of different elements such as N, F, Si or metals. The diversity of methods used for the deposition of diamond-like carbon films provides the flexibility to tailor their properties according to specific needs and potential applications. The hydrogenated form of DLC appears to reach a maturity in understanding its properties and finding old and new practical applications for it. The non-hydrogenated diamond-like carbon, or tetrahedral carbon, is at a much younger state of preparation and characterization and practical applications have yet to be proven. The paper will review the state of the art of the preparation of the different types of diamond-like carbon films, the characterization and understanding of their properties, and their practical applications.
- Published
- 1999
- Full Text
- View/download PDF
41. Growth of bismuth titanate films by chemical vapor deposition and chemical solution deposition
- Author
-
Thomas M. Shaw, P. R. Duncombe, Robert J. Purtell, Robert B. Laibowitz, Deborah A. Neumayer, and Alfred Grill
- Subjects
Materials science ,Bismuth titanate ,Inorganic chemistry ,chemistry.chemical_element ,Chemical vapor deposition ,Condensed Matter Physics ,Ferroelectricity ,Electronic, Optical and Magnetic Materials ,Bismuth ,chemistry.chemical_compound ,Crystallinity ,Carbon film ,Chemical engineering ,chemistry ,Control and Systems Engineering ,Materials Chemistry ,Ceramics and Composites ,Electrical and Electronic Engineering ,Titanium isopropoxide ,Titanium - Abstract
Bismuth titanate, Bi4Ti3O12 (BIT) was grown via chemical vapor deposition (CVD) and chemical solution deposition (CSD). The BIT films were grown by CVD, with triphenylbismuth and titanium isopropoxide. BIT films were fabricated by CSD using a solution prepared with bismuth ethylhexanoate and titanium butoxyethoxide dissolved in butoxyethanol. What is readily apparent in both CVD and CSD BIT films, is that composition plays a dominant role in determining not only phase purity but texturing as well and that a small composition variation can lead to dramatic changes in phase purity, orientation and electrical properties. In the CVD BIT films composition was controlled by changing the growth temperature. In the CSD BIT films composition was controlled by changing the solution composition. CVD BIT films crystallized at lower temperatures with better crystallinity and greater c-axis texturing than the CSD BIT films at comparable Bi/Ti composition. For both CVD and CSD, titanium rich films contained a m...
- Published
- 1998
- Full Text
- View/download PDF
42. Novel Low k Dielectrics Based on Diamondlike Carbon Materials
- Author
-
Christopher V. Jahnes, Alfred Grill, and Vishnubhai Vitthalbhai Patel
- Subjects
Materials science ,Renewable Energy, Sustainability and the Environment ,chemistry.chemical_element ,Nanotechnology ,Fluorine containing ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Materials Chemistry ,Electrochemistry ,Thermal stability ,Diamond cubic ,Composite material ,Material properties ,Carbon ,Deposition (law) - Abstract
Hydrogenated diamondlike carbon (DLC) and fluorine containing DLC (FDLC) were investigated for their potential applications as low k dielectrics for the back end of the line interconnect structures in ultralarge scale integrated circuits. It was found that the dielectric constant (k) of DLC can be varied between 3.4 by changing the deposition conditions. The thermal stability of the DLC films was found to be correlated to the values of the dielectric constant, decreasing with decreasing k. Only DLC films having dielectric constants k > 3.3 appeared to be stable to anneals of 4 h at 400°C in a nonoxidizing environment. However these films were characterized by stresses higher then 600 MPa. FDLC films, thermally more stable at 400°C than the DLC films with k > 3.3, could be prepared with dielectric constants below 2.7 and internal stresses
- Published
- 1998
- Full Text
- View/download PDF
43. Noble metal silicide formation in metal/Si structures during oxygen annealing: Implications for perovskite-based memory devices
- Author
-
Alfred Grill, Cyril Cabral, and Katherine L. Saenger
- Subjects
Materials science ,Diffusion barrier ,Silicon ,Mechanical Engineering ,Metallurgy ,Analytical chemistry ,chemistry.chemical_element ,engineering.material ,Condensed Matter Physics ,Metal ,chemistry.chemical_compound ,Electrical resistance and conductance ,chemistry ,Mechanics of Materials ,visual_art ,Silicide ,visual_art.visual_art_medium ,engineering ,General Materials Science ,Noble metal ,Iridium ,Perovskite (structure) - Abstract
This paper investigates the potentially undesirable noble metal silicide formation reactions that may occur in noble metal electrodes deposited directly on silicon without an intervening diffusion barrier. Metal (90–100 nm)/Si structures of Pt/Si, Rh/Si, Ir/Si, and Ir/Ti/Si were annealed in oxygen or nitrogen ambients at temperatures of 640–700 °C. Metalysilicon reactions and phase formation were studied by Rutherford Backscattering Spectroscopy, x-ray diffraction, and electrical resistance measurements. While complete silicidation was observed in the Rh/Si, Pt/Si, and Ir/Si samples after 640 °C/6 min anneals in nitrogen, some Pt and most of the Ir remained after equivalent anneals in oxygen. More detailed studies of the Ir/Si samples indicated that some Ir is left unsilicided even after a 700 °C/6 min anneal in O2, and that the iridium silicide formed is the semiconducting IrSi1.75. The formation of this silicide can be delayed, but not prevented, with the use of a 5 nm Ti adhesion layer between the Ir and Si.
- Published
- 1998
- Full Text
- View/download PDF
44. Buried, self-aligned barrier layer structures for perovskite-based memory devices comprising Pt or Ir bottom electrodes on silicon-contributing substrates
- Author
-
Alfred Grill, Katherine L. Saenger, and David E. Kotecki
- Subjects
Materials science ,Silicon ,business.industry ,Annealing (metallurgy) ,General Physics and Astronomy ,chemistry.chemical_element ,Tungsten ,engineering.material ,Barrier layer ,chemistry.chemical_compound ,chemistry ,Silicide ,engineering ,Optoelectronics ,Noble metal ,Crystalline silicon ,business ,High-κ dielectric - Abstract
The integration of noble metal electrodes into semiconductor memory devices incorporating ferroelectric or high dielectric constant e materials is expected to require deposition of a conductive, oxidation-resistant barrier material between the noble metal and the silicon contact. Described is an alternative type of barrier layer structure which is formed as buried, self-aligned layer during oxygen-ambient annealing after noble metal deposition on silicon-contributing substrates. Reactions of Pt(20 nm) and Ir(20 nm) films with substrates of single crystalline silicon (c-Si), polycrystaline silicon (poly-Si), and tungsten silicide (WSix/Si with x=2.4–2.8) were examined after anneals in atmospheric pressure ambients of oxygen or nitrogen at temperatures of 640–700 °C, a temperature range of interest for high-epsilon materials deposition. While Pt(20 nm) films reacted with silicon and WSix/Si during oxygen annealing to form a mixture of Pt silicides and Pt, Ir(20 nm) films on the same substrates did not form ...
- Published
- 1998
- Full Text
- View/download PDF
45. [Untitled]
- Author
-
Christopher V. Jahnes, Vishnubhai Vitthalbhai Patel, L. Ponsonnet, C. Donnet, T. Le Mogne, Alfred Grill, and Michel Belin
- Subjects
Auger electron spectroscopy ,Materials science ,integumentary system ,Diamond-like carbon ,Mechanical Engineering ,chemistry.chemical_element ,Surfaces and Interfaces ,Partial pressure ,Tribology ,Oxygen ,Surfaces, Coatings and Films ,chemistry ,Mechanics of Materials ,Relative humidity ,Composite material ,human activities ,Water vapor ,Tribometer - Abstract
The tribological behavior of diamond-like carbon coatings (DLC) strongly depends on the chemical nature of the test environment. The present study proposes to explore the influence of water vapor and oxygen on the friction behavior of a hydrogenated DLC coating exhibiting ultralow friction in ultrahigh vacuum (friction coefficient below 0.01). Using a UHV tribometer, reciprocating pin-on-flat friction tests were performed in progressively increasing or decreasing partial pressures of pure oxygen and pure water vapor. The maximum gaseous pressures of oxygen and water vapor were 60 hPa and 25 hPa (1 hPa = 100 Pa), respectively, the second value corresponding to a relative humidity (RH) of 100% at room temperature. It was found that, for the pressure range explored, oxygen does not change the ultralow friction behavior of DLC observed in UHV. Conversely, water vapor drastically changes the friction coefficient at pressures above 0.5 hPa (RH = 2%), from about 0.01 to more than 0.1. Electron energy loss spectroscopy and in situ Auger electron spectroscopy have been performed to elucidate the friction mechanisms responsible for the tribological behaviors observed with the two different gaseous environments. In all cases no significant oxidation has been observed either inside the wear scars or in the wear debris particles. Ultralow friction is systematically associated with a homogeneous carbon-based transfer film. The higher friction observed at partial pressure of water vapor higher than 0.5 hPa, is associated with a thinner transfer film. Consequently friction seems to be controlled by the transfer film whose kinetics of formation strongly depends on the partial pressure of water vapor.
- Published
- 1998
- Full Text
- View/download PDF
46. Friction control of diamond-like carbon coatings
- Author
-
C. Donnet and Alfred Grill
- Subjects
Materials science ,integumentary system ,Diamond-like carbon ,Relative motion ,chemistry.chemical_element ,Surfaces and Interfaces ,General Chemistry ,Tribology ,engineering.material ,Condensed Matter Physics ,Surfaces, Coatings and Films ,body regions ,chemistry ,Coating ,Materials Chemistry ,engineering ,Friction reduction ,Lubricant ,Composite material ,human activities ,Carbon ,Deposition process - Abstract
The friction reduction of contacting surfaces in relative motion may be achieved through the use of solid lubricant coatings. The control of friction and wear through diamond-like carbon (DLC) coatings strongly depends on both the environmental conditions and the nature of the coating, as determined by the deposition process. The paper presents and discusses friction results linking the structure and composition of DLC coatings prepared by PACVD and varying precursor and bias, with physical and mechanical properties and tribological behavior in controlled environments. The wide range of the friction coefficients observed, from less than 0.01 to more than 0.5, and the different mechanisms involved are explained by the effects of the deposition process and tribological parameters.
- Published
- 1997
- Full Text
- View/download PDF
47. Tribology of diamondlike carbon and related materials: an updated review
- Author
-
Alfred Grill
- Subjects
Materials science ,chemistry ,Materials Chemistry ,chemistry.chemical_element ,Nanotechnology ,Surfaces and Interfaces ,General Chemistry ,Tribology ,Low friction ,Condensed Matter Physics ,Carbon ,Deposition (chemistry) ,Surfaces, Coatings and Films - Abstract
Diamondlike carbon (DLC) has been studied for many years as a wear-resistant material with low friction coefficient. Its tribological behavior is strongly affected by the tribotesting environment and is controlled by tribochemical effects, which may in turn be dependent on the technique used for the deposition of the films. New variations of DLC films, with various dopings, and new deposition methods have been investigated in recent years. The paper presents an updated review of the tribological properties of DLC and related materials, and discusses the mechanisms suggested for the explanation of the wear and friction behavior of these materials.
- Published
- 1997
- Full Text
- View/download PDF
48. Chemical solution deposition of BaSrTiO3 films
- Author
-
P. R. Duncombe, Deborah A. Neumayer, Robert B. Laibowitz, and Alfred Grill
- Subjects
Strontium ,Materials science ,Inorganic chemistry ,chemistry.chemical_element ,Barium ,Dielectric ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,law.invention ,Acetic acid ,chemistry.chemical_compound ,Crystallinity ,chemistry ,Control and Systems Engineering ,law ,Materials Chemistry ,Ceramics and Composites ,Electrical and Electronic Engineering ,Crystallization ,Titanium ,Sol-gel - Abstract
We compared three chemical solution deposition routes to BaxSr1-xTiO3 (BST) films. A metal organic decomposition (MOD) solution composed of barium and strontium acetate, titanium diisopropoxide bis(acetylacetonate), dissolved in a mixture of acetic acid, water and isoproponal resulted in films with spin defects, pinholes, poor crystallization, and poor electrical properties. FTIR spectra revealed a less facile decomposition pathway as indicated by the larger thermal budget required to decompose the titanium precursor, a reduced hydroxy component and increased free CO2. A hybrid route utilizing acetic acid modified barium, strontium and titanium isopropoxides resulted in films with better crystallinity and a reduced carbonate content in the FTIR spectra. The best BST films were obtained from a sol gel route utilizing barium, strontium and titanium 2-methoxyethoxides. The films had minimal carbonate content in the FTIR spectra, crystallized at 700 °C, and had dielectric constants up to 400 with lea...
- Published
- 1997
- Full Text
- View/download PDF
49. Effect of TiOx nucleation layer on crystallization of Bi4Ti3O12 films
- Author
-
John A. Ott, Thomas M. Shaw, Katherine L. Saenger, P. R. Duncombe, Alfred Grill, Robert B. Laibowitz, Robert J. Purtell, and Deborah A. Neumayer
- Subjects
Materials science ,Bismuth titanate ,Inorganic chemistry ,Nucleation ,Oxide ,Condensed Matter Physics ,Grain size ,Electronic, Optical and Magnetic Materials ,law.invention ,Titanium oxide ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Control and Systems Engineering ,law ,Materials Chemistry ,Ceramics and Composites ,Electrical and Electronic Engineering ,Titanium isopropoxide ,Crystallization ,Layer (electronics) - Abstract
Crystalline Bi4Ti3O12 films were fabricated using a chemical solution deposition route. The spin solution was prepared with bismuth acetate and titanium isopropoxide dissolved in a mixture of acetic acid and 2-methoxyethanol. The effect of a titanium oxide buffer layer and Bi4Ti3O12 seed layers on Bi4Ti3O12 film crystallization was studied. The titanium oxide buffer layer and the Bi4Ti3O12 seed layer reduced grain size and grain size distribution. Less preferential c axis orientation was observed on TiOx than on Pt. Ferroelectric hysteresis loops were measured on Bi4Ti3O12 films with thickness’ ranging from 70 to 180 nm at 1–16 volts with remanent polarizations up to 14 μC/cm2. Remanent polarizations of 3–4 μC/cm2 at 4 volts were measured for Bi4Ti3O12 films on Pt with all the layers annealed all at once with no titanium oxide buffer layers or Bi4Ti3O12 seed layers.
- Published
- 1997
- Full Text
- View/download PDF
50. Effect of base electrode on the crystallization and electrical properties of PLT
- Author
-
David B. Beach, Deborah A. Neumayer, P. R. Duncombe, Robert B. Laibowitz, and Alfred Grill
- Subjects
chemistry.chemical_classification ,Materials science ,Base (chemistry) ,Scanning electron microscope ,Analytical chemistry ,Lanthanum titanate ,Dielectric ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,Control and Systems Engineering ,law ,Electrode ,Materials Chemistry ,Ceramics and Composites ,Electrical measurements ,Electrical and Electronic Engineering ,Crystallization - Abstract
Lead lanthanum titanate (28% La) films, 900–1500 A thick, have been deposited by the sol-gel technique on Ir/Si and Pt/Ti/SiO2 substrates. The films have been rapidly thermal annealed for 1–4 min. at 650 °C and have been characterized by X-ray diffractometry, scanning electron microscopy and by electrical measurements. The dielectric constant of the PLT films deposited on the Pt electrodes reached a maximal value of 660, while for those deposited on the Ir electrodes it reached a maximal value of 775. The variation of the values of the dielectric constants of the different samples appears to be controlled mainly by the concentration of Pb in the films.
- Published
- 1997
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.