992 results on '"Basu, Arindam"'
Search Results
2. Efficient Nonlinear Function Approximation in Analog Resistive Crossbars for Recurrent Neural Networks
- Author
-
Yang, Junyi, Mao, Ruibin, Jiang, Mingrui, Cheng, Yichuan, Sun, Pao-Sheng Vincent, Dong, Shuai, Pedretti, Giacomo, Sheng, Xia, Ignowski, Jim, Li, Haoliang, Li, Can, and Basu, Arindam
- Subjects
Computer Science - Hardware Architecture - Abstract
Analog In-memory Computing (IMC) has demonstrated energy-efficient and low latency implementation of convolution and fully-connected layers in deep neural networks (DNN) by using physics for computing in parallel resistive memory arrays. However, recurrent neural networks (RNN) that are widely used for speech-recognition and natural language processing have tasted limited success with this approach. This can be attributed to the significant time and energy penalties incurred in implementing nonlinear activation functions that are abundant in such models. In this work, we experimentally demonstrate the implementation of a non-linear activation function integrated with a ramp analog-to-digital conversion (ADC) at the periphery of the memory to improve in-memory implementation of RNNs. Our approach uses an extra column of memristors to produce an appropriately pre-distorted ramp voltage such that the comparator output directly approximates the desired nonlinear function. We experimentally demonstrate programming different nonlinear functions using a memristive array and simulate its incorporation in RNNs to solve keyword spotting and language modelling tasks. Compared to other approaches, we demonstrate manifold increase in area-efficiency, energy-efficiency and throughput due to the in-memory, programmable ramp generator that removes digital processing overhead.
- Published
- 2024
3. Topkima-Former: Low-energy, Low-Latency Inference for Transformers using top-k In-memory ADC
- Author
-
Dong, Shuai, Yang, Junyi, Peng, Xiaoqi, Shang, Hongyang, Ke, Ye, Yang, Xiaofeng, Liu, Hongjie, and Basu, Arindam
- Subjects
Computer Science - Hardware Architecture - Abstract
Transformer model has gained prominence as a popular deep neural network architecture for neural language processing (NLP) and computer vision (CV) applications. However, the extensive use of nonlinear operations, like softmax, poses a performance bottleneck during transformer inference and comprises up to 40% of the total latency. Hence, we propose innovations at the circuit, architecture, and algorithm levels to accelerate the transformer. At the circuit level, we propose topkima-combining top-k activation selection with in-memory ADC (IMA) to implement a low-energy and low-latency softmax without any sorting latency. Only the k largest activations are sent to the softmax calculation block, reducing the huge computational cost of softmax. Using a modified training scheme with top-k only in the forward pass, experimental results demonstrate only a 0.4% to 1.2% reduction in accuracy across ViT, distilBERT, and BERT-base models when evaluated on CIFAR-10, CIFAR-100, and SQuAD datasets with k=5. At the architecture level, an improved scale-free technique is introduced to reduce the computational cost of attention. The combined system, dubbed Topkima-Former, enhances 1.8x-84x speedup and 1.3x-35x energy efficiency (EE) over prior In-memory computing (IMC) accelerators. Compared to a conventional softmax macro and a digital top-k (Dtopk) softmax macro, our proposed tokima softmax macro achieves about 15x and 8x faster speed respectively., Comment: 7 pages
- Published
- 2024
4. Testing leptogenesis and dark matter production during reheating with primordial gravitational waves
- Author
-
Barman, Basabendu, Basu, Arindam, Borah, Debasish, Chakraborty, Amit, and Roshan, Rishav
- Subjects
High Energy Physics - Phenomenology ,Astrophysics - Cosmology and Nongalactic Astrophysics - Abstract
We study the generation of baryon asymmetry as well as dark matter (DM) in an extended reheating period after the end of slow-roll inflation. Within the regime of perturbative reheating, we consider different monomial potential of the inflaton field during reheating era. The inflaton condensate reheats the Universe by decaying into the Standard Model (SM) bath either via fermionic or bosonic decay modes. Assuming the leptogenesis route to baryogenesis in a canonical seesaw framework, we consider both the radiation bath and perturbative inflaton decay to produce such RHNs during the period of reheating when the maximum temperature of the SM bath is well above the reheating temperature. The DM, assumed to be a SM gauge singlet field, also gets produced from the bath during the reheating period via UV freeze-in. In addition to obtaining different parameter space for such non-thermal leptogenesis and DM for both bosonic and fermionic reheating modes and the type of monomial potential, we discuss the possibility of probing such scenarios via spectral shape of primordial gravitational waves., Comment: 28 pages, 2 tables, 6 figures, and Modified title. This version is accepted for publication in PRD
- Published
- 2024
5. One Health : why we need to combine disease surveillance and climate modelling to preempt future pandemics
- Author
-
Basu, Arindam
- Published
- 2022
6. Leptonic CP-violation in the sneutrino sector of the BLSSM with Inverse Seesaw
- Author
-
Basu, Arindam, Chakraborty, Amit, Liu, Yi, Moretti, Stefano, and Waltari, Harri
- Subjects
High Energy Physics - Phenomenology ,High Energy Physics - Experiment - Abstract
We study CP violation (CPV) in the sneutrino sector within the B-L extension of the Minimal Supersymmetric Standard Model (BLSSM), wherein an inverse seesaw mechanism has been implemented. CPV arises from the new superpotential couplings in the (s)neutrino sector, which can be complex and the mixing of CP-eigenstates induced by those couplings. CPV leads to asymmetries in so called T-odd observables, but we argue that such asymmetries also lead to a wider distribution of those observables. We look at a final state where a sneutrino decays to a lepton, two jets and missing transverse momentum at the Future Circular Collider operating in hadron-hadron mode at $100$ TeV and with a luminosity of 3 ab$^{-1}$. In order to exclude the CP conserving scenario we need to improve traditional analysis by introducing boosted decision trees using both standard kinematic variables and T-odd observables and we need $Z^{\prime}$ boson not too much above current bounds as a portal to produce sneutrinos efficiently., Comment: 23 pages, 21 figures, 10 tables; v2: Comments added on the impact of $Z^{\prime}$ boson searches at lepton colliders and prospects of baryogenesis in this model; Accepted for publication in PRD
- Published
- 2024
7. Older and Wiser: The Marriage of Device Aging and Intellectual Property Protection of Deep Neural Networks
- Author
-
Lin, Ning, Wang, Shaocong, Zhang, Yue, He, Yangu, Wong, Kwunhang, Basu, Arindam, Shang, Dashan, Chen, Xiaoming, and Wang, Zhongrui
- Subjects
Computer Science - Cryptography and Security ,Computer Science - Hardware Architecture - Abstract
Deep neural networks (DNNs), such as the widely-used GPT-3 with billions of parameters, are often kept secret due to high training costs and privacy concerns surrounding the data used to train them. Previous approaches to securing DNNs typically require expensive circuit redesign, resulting in additional overheads such as increased area, energy consumption, and latency. To address these issues, we propose a novel hardware-software co-design approach for DNN intellectual property (IP) protection that capitalizes on the inherent aging characteristics of circuits and a novel differential orientation fine-tuning (DOFT) to ensure effective protection. Hardware-wise, we employ random aging to produce authorized chips. This process circumvents the need for chip redesign, thereby eliminating any additional hardware overhead during the inference procedure of DNNs. Moreover, the authorized chips demonstrate a considerable disparity in DNN inference performance when compared to unauthorized chips. Software-wise, we propose a novel DOFT, which allows pre-trained DNNs to maintain their original accuracy on authorized chips with minimal fine-tuning, while the model's performance on unauthorized chips is reduced to random guessing. Extensive experiments on various models, including MLP, VGG, ResNet, Mixer, and SwinTransformer, with lightweight binary and practical multi-bit weights demonstrate that the proposed method achieves effective IP protection, with only 10\% accuracy on unauthorized chips, while preserving nearly the original accuracy on authorized ones., Comment: Design Automation Conference 2024
- Published
- 2024
8. A Low-Power Spike Detector Using In-Memory Computing for Event-based Neural Frontend
- Author
-
Ke, Ye and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Signal Processing - Abstract
With the sensor scaling of next-generation Brain-Machine Interface (BMI) systems, the massive A/D conversion and analog multiplexing at the neural frontend poses a challenge in terms of power and data rates for wireless and implantable BMIs. While previous works have reported the neuromorphic compression of neural signal, further compression requires integration of spike detectors on chip. In this work, we propose an efficient HRAM-based spike detector using In-memory computing for compressive event-based neural frontend. Our proposed method involves detecting spikes from event pulses without reconstructing the signal and uses a 10T hybrid in-memory computing bitcell for the accumulation and thresholding operations. We show that our method ensures a spike detection accuracy of 92-99% for neural signal inputs while consuming only 13.8 nW per channel in 65 nm CMOS., Comment: Originally submitted at IEEE ISCAS 2024
- Published
- 2024
9. Hybrid Event-Frame Neural Spike Detector for Neuromorphic Implantable BMI
- Author
-
Mohan, Vivek, Tay, Wee Peng, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Signal Processing - Abstract
This work introduces two novel neural spike detection schemes intended for use in next-generation neuromorphic brain-machine interfaces (iBMIs). The first, an Event-based Spike Detector (Ev-SPD) which examines the temporal neighborhood of a neural event for spike detection, is designed for in-vivo processing and offers high sensitivity and decent accuracy (94-97%). The second, Neural Network-based Spike Detector (NN-SPD) which operates on hybrid temporal event frames, provides an off-implant solution using shallow neural networks with impressive detection accuracy (96-99%) and minimal false detections. These methods are evaluated using a synthetic dataset with varying noise levels and validated through comparison with ground truth data. The results highlight their potential in next-gen neuromorphic iBMI systems and emphasize the need to explore this direction further to understand their resource-efficient and high-performance capabilities for practical iBMI settings., Comment: This paper has been accepted for 2024 IEEE International Symposium on Circuits and Systems (ISCAS), Singapore
- Published
- 2024
10. Are there any differences in shoulder muscle strength and range of motion between fast bowlers with and without shoulder pain?
- Author
-
Walter, Sibi, Petersen, Carl, and Basu, Arindam
- Published
- 2021
11. New Zealand has managed to dodge the COVID-19 bullet, again. Here’s why
- Author
-
Basu, Arindam
- Published
- 2021
12. Quantifying injuries among New Zealand cricket fast bowlers : A 12-month retrospective injury surveillance
- Author
-
Walter, Sibi, Petersen, Carl, and Basu, Arindam
- Published
- 2021
13. ANN vs SNN: A case study for Neural Decoding in Implantable Brain-Machine Interfaces
- Author
-
Zhou, Biyan, Sun, Pao-Sheng Vincent, and Basu, Arindam
- Subjects
Computer Science - Machine Learning ,Computer Science - Human-Computer Interaction ,Computer Science - Neural and Evolutionary Computing ,Quantitative Biology - Neurons and Cognition - Abstract
While it is important to make implantable brain-machine interfaces (iBMI) wireless to increase patient comfort and safety, the trend of increased channel count in recent neural probes poses a challenge due to the concomitant increase in the data rate. Extracting information from raw data at the source by using edge computing is a promising solution to this problem, with integrated intention decoders providing the best compression ratio. In this work, we compare different neural networks (NN) for motor decoding in terms of accuracy and implementation cost. We further show that combining traditional signal processing techniques with machine learning ones deliver surprisingly good performance even with simple NNs. Adding a block Bidirectional Bessel filter provided maximum gains of $\approx 0.05$, $0.04$ and $0.03$ in $R^2$ for ANN\_3d, SNN\_3D and ANN models, while the gains were lower ($\approx 0.02$ or less) for LSTM and SNN\_streaming models. Increasing training data helped improve the $R^2$ of all models by $0.03-0.04$ indicating they have more capacity for future improvement. In general, LSTM and SNN\_streaming models occupy the high and low ends of the pareto curves (for accuracy vs. memory/operations) respectively while SNN\_3D and ANN\_3D occupy intermediate positions. Our work presents state of the art results for this dataset and paves the way for decoder-integrated-implants of the future.
- Published
- 2023
14. Towards Neuromorphic Compression based Neural Sensing for Next-Generation Wireless Implantable Brain Machine Interface
- Author
-
Mohan, Vivek, Tay, Wee Peng, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Signal Processing - Abstract
This work introduces a neuromorphic compression based neural sensing architecture with address-event representation inspired readout protocol for massively parallel, next-gen wireless iBMI. The architectural trade-offs and implications of the proposed method are quantitatively analyzed in terms of compression ratio and spike information preservation. For the latter, we use metrics such as root-mean-square error and correlation coefficient between the original and recovered signal to assess the effect of neuromorphic compression on spike shape. Furthermore, we use accuracy, sensitivity, and false detection rate to understand the effect of compression on downstream iBMI tasks, specifically, spike detection. We demonstrate that a data compression ratio of $50-100$ can be achieved, $5-18\times$ more than prior work, by selective transmission of event pulses corresponding to neural spikes. A correlation coefficient of $\approx0.9$ and spike detection accuracy of over $90\%$ for the worst-case analysis involving $10K$-channel simulated recording and typical analysis using $100$ or $384$-channel real neural recordings. We also analyze the collision handling capability and scalability of the proposed pipeline., Comment: 14 pages, 8 figures, IEEE Transaction submission manuscript. This work has been submitted to the IEEE for possible publication
- Published
- 2023
15. Viability of Boosted Light Dark Matter in a Two-Component Scenario
- Author
-
Basu, Arindam, Chakraborty, Amit, Kumar, Nilanjana, and Sadhukhan, Soumya
- Subjects
High Energy Physics - Phenomenology ,High Energy Physics - Theory - Abstract
We study the two-component boosted dark matter (DM) scenario in a neutrinophilic two-Higgs doublet model ($\nu 2$HDM), which comprises of one extra Higgs doublet with a MeV scale CP-even scalar H. This model is extended with a light ($\sim 10$~MeV) singlet scalar DM $\phi_3$, which is stabilized under the existing $Z_2$ symmetry and can only effectively annihilate through scalar $H$. As the presence of a light H modify the oblique parameters to put tight constraints on the model, introduction of vectorlike leptons (VLL) can potentially salvage the issue. These additional vector-like doublet $N$ and one vector-like singlet $\chi$ are also stabilized through the $Z_2$ symmetry. The lightest vectorlike mass eigenstate $\chi_1$ ($ \sim 100$ GeV) can be the potential second DM component of the model. Individual scalar and fermionic DM candidates have Higgs/Z mediated annihilation, restricting the fermion DM in a narrow mass region while a somewhat broader mass region is allowed for the scalar DM. In a coupled scenario, light DM $\phi_3$ gets its boost from the $\chi_1$ annihilation while the fermionic DM opens up new annihilation channel $\chi_1 \chi_1 \to \phi_3 \phi_3$: decreases the relic density. This paves way for more fermionic DM mass with under-abundant relic, a region of [35-60] GeV compared to a smaller [40-50] GeV window for the single component fermion DM. On the other hand, the $\phi_3$ resonant annihilation gets diluted due to boosting effects in kinematics, which increases the DM relic leading to a smaller allowed region. To achieve an under-abundant relic, the total DM relic will be dominated by the $\chi_1$ contribution. While there is a region with $\phi_3$ contribution dominating the total DM, the combined relic becomes over-abundant. Therefore, a sub-dominant ($\sim 5 \%$) boosted scalar is the most favorable light DM candidate to be probed for detection., Comment: 29 pages, 10 figures, 5 tables
- Published
- 2023
16. Experimental comparison between flattened Brazilian disc and ring tests in evaluating indirect tensile strength of two types of Gondwana sandstone
- Author
-
Sarkar, Manali and Basu, Arindam
- Published
- 2024
- Full Text
- View/download PDF
17. An Efficient Hash-based Data Structure for Dynamic Vision Sensors and its Application to Low-energy Low-memory Noise Filtering
- Author
-
Gopalakrishnan, Pradeep Kumar, Chang, Chip-Hong, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Image and Video Processing - Abstract
Events generated by the Dynamic Vision Sensor (DVS) are generally stored and processed in two-dimensional data structures whose memory complexity and energy-per-event scale proportionately with increasing sensor dimensions. In this paper, we propose a new two-dimensional data structure (BF_2) that takes advantage of the sparsity of events and enables compact storage of data using hash functions. It overcomes the saturation issue in the Bloom Filter (BF) and the memory reset issue in other hash-based arrays by using a second dimension to clear 1 out of D rows at regular intervals. A hardware-friendly, low-power, and low-memory-footprint noise filter for DVS is demonstrated using BF_2. For the tested datasets, the performance of the filter matches those of state-of-the-art filters like the BAF/STCF while consuming less than 10% and 15% of their memory and energy-per-event, respectively, for a correlation time constant Tau = 5 ms. The memory and energy advantages of the proposed filter increase with increasing sensor sizes. The proposed filter compares favourably with other hardware-friendly, event-based filters in hardware complexity, memory requirement and energy-per-event - as demonstrated through its implementation on an FPGA. The parameters of the data structure can be adjusted for trade-offs between performance and memory consumption, based on application requirements., Comment: Supplementary material can be accessed at the link provided at the end of the manuscript
- Published
- 2023
18. NeuroBench: A Framework for Benchmarking Neuromorphic Computing Algorithms and Systems
- Author
-
Yik, Jason, Berghe, Korneel Van den, Blanken, Douwe den, Bouhadjar, Younes, Fabre, Maxime, Hueber, Paul, Ke, Weijie, Khoei, Mina A, Kleyko, Denis, Pacik-Nelson, Noah, Pierro, Alessandro, Stratmann, Philipp, Sun, Pao-Sheng Vincent, Tang, Guangzhi, Wang, Shenqi, Zhou, Biyan, Ahmed, Soikat Hasan, Joseph, George Vathakkattil, Leto, Benedetto, Micheli, Aurora, Mishra, Anurag Kumar, Lenz, Gregor, Sun, Tao, Ahmed, Zergham, Akl, Mahmoud, Anderson, Brian, Andreou, Andreas G., Bartolozzi, Chiara, Basu, Arindam, Bogdan, Petrut, Bohte, Sander, Buckley, Sonia, Cauwenberghs, Gert, Chicca, Elisabetta, Corradi, Federico, de Croon, Guido, Danielescu, Andreea, Daram, Anurag, Davies, Mike, Demirag, Yigit, Eshraghian, Jason, Fischer, Tobias, Forest, Jeremy, Fra, Vittorio, Furber, Steve, Furlong, P. Michael, Gilpin, William, Gilra, Aditya, Gonzalez, Hector A., Indiveri, Giacomo, Joshi, Siddharth, Karia, Vedant, Khacef, Lyes, Knight, James C., Kriener, Laura, Kubendran, Rajkumar, Kudithipudi, Dhireesha, Liu, Shih-Chii, Liu, Yao-Hong, Ma, Haoyuan, Manohar, Rajit, Margarit-Taulé, Josep Maria, Mayr, Christian, Michmizos, Konstantinos, Muir, Dylan R., Neftci, Emre, Nowotny, Thomas, Ottati, Fabrizio, Ozcelikkale, Ayca, Panda, Priyadarshini, Park, Jongkil, Payvand, Melika, Pehle, Christian, Petrovici, Mihai A., Posch, Christoph, Renner, Alpha, Sandamirskaya, Yulia, Schaefer, Clemens JS, van Schaik, André, Schemmel, Johannes, Schmidgall, Samuel, Schuman, Catherine, Seo, Jae-sun, Sheik, Sadique, Shrestha, Sumit Bam, Sifalakis, Manolis, Sironi, Amos, Stewart, Kenneth, Stewart, Matthew, Stewart, Terrence C., Timcheck, Jonathan, Tömen, Nergis, Urgese, Gianvito, Verhelst, Marian, Vineyard, Craig M., Vogginger, Bernhard, Yousefzadeh, Amirreza, Zohora, Fatima Tuz, Frenkel, Charlotte, and Reddi, Vijay Janapa
- Subjects
Computer Science - Artificial Intelligence - Abstract
Neuromorphic computing shows promise for advancing computing efficiency and capabilities of AI applications using brain-inspired principles. However, the neuromorphic research field currently lacks standardized benchmarks, making it difficult to accurately measure technological advancements, compare performance with conventional methods, and identify promising future research directions. Prior neuromorphic computing benchmark efforts have not seen widespread adoption due to a lack of inclusive, actionable, and iterative benchmark design and guidelines. To address these shortcomings, we present NeuroBench: a benchmark framework for neuromorphic computing algorithms and systems. NeuroBench is a collaboratively-designed effort from an open community of researchers across industry and academia, aiming to provide a representative structure for standardizing the evaluation of neuromorphic approaches. The NeuroBench framework introduces a common set of tools and systematic methodology for inclusive benchmark measurement, delivering an objective reference framework for quantifying neuromorphic approaches in both hardware-independent (algorithm track) and hardware-dependent (system track) settings. In this article, we outline tasks and guidelines for benchmarks across multiple application domains, and present initial performance baselines across neuromorphic and conventional approaches for both benchmark tracks. NeuroBench is intended to continually expand its benchmarks and features to foster and track the progress made by the research community., Comment: To appear in Nature Neuromorphic Hardware and Computing collection
- Published
- 2023
19. Tracking Fast by Learning Slow: An Event-based Speed Adaptive Hand Tracker Leveraging Knowledge in RGB Domain
- Author
-
Lan, Chuanlin, Yin, Ziyuan, Basu, Arindam, and Chan, Rosa H. M.
- Subjects
Computer Science - Computer Vision and Pattern Recognition - Abstract
3D hand tracking methods based on monocular RGB videos are easily affected by motion blur, while event camera, a sensor with high temporal resolution and dynamic range, is naturally suitable for this task with sparse output and low power consumption. However, obtaining 3D annotations of fast-moving hands is difficult for constructing event-based hand-tracking datasets. In this paper, we provided an event-based speed adaptive hand tracker (ESAHT) to solve the hand tracking problem based on event camera. We enabled a CNN model trained on a hand tracking dataset with slow motion, which enabled the model to leverage the knowledge of RGB-based hand tracking solutions, to work on fast hand tracking tasks. To realize our solution, we constructed the first 3D hand tracking dataset captured by an event camera in a real-world environment, figured out two data augment methods to narrow the domain gap between slow and fast motion data, developed a speed adaptive event stream segmentation method to handle hand movements in different moving speeds, and introduced a new event-to-frame representation method adaptive to event streams with different lengths. Experiments showed that our solution outperformed RGB-based as well as previous event-based solutions in fast hand tracking tasks, and our codes and dataset will be publicly available.
- Published
- 2023
20. Energy and Sustainability
- Author
-
Shankar, Uday, Basu, Arindam, Cullet, Philippe, book editor, Bhullar, Lovleen, book editor, and Koonan, Sujith, book editor
- Published
- 2024
- Full Text
- View/download PDF
21. Intelligence Processing Units Accelerate Neuromorphic Learning
- Author
-
Sun, Pao-Sheng Vincent, Titterton, Alexander, Gopiani, Anjlee, Santos, Tim, Basu, Arindam, Lu, Wei D., and Eshraghian, Jason K.
- Subjects
Computer Science - Machine Learning - Abstract
Spiking neural networks (SNNs) have achieved orders of magnitude improvement in terms of energy consumption and latency when performing inference with deep learning workloads. Error backpropagation is presently regarded as the most effective method for training SNNs, but in a twist of irony, when training on modern graphics processing units (GPUs) this becomes more expensive than non-spiking networks. The emergence of Graphcore's Intelligence Processing Units (IPUs) balances the parallelized nature of deep learning workloads with the sequential, reusable, and sparsified nature of operations prevalent when training SNNs. IPUs adopt multi-instruction multi-data (MIMD) parallelism by running individual processing threads on smaller data blocks, which is a natural fit for the sequential, non-vectorized steps required to solve spiking neuron dynamical state equations. We present an IPU-optimized release of our custom SNN Python package, snnTorch, which exploits fine-grained parallelism by utilizing low-level, pre-compiled custom operations to accelerate irregular and sparse data access patterns that are characteristic of training SNN workloads. We provide a rigorous performance assessment across a suite of commonly used spiking neuron models, and propose methods to further reduce training run-time via half-precision training. By amortizing the cost of sequential processing into vectorizable population codes, we ultimately demonstrate the potential for integrating domain-specific accelerators with the next generation of neural networks., Comment: 10 pages, 9 figures, journal
- Published
- 2022
22. The Quest for Shangri-La: The Expectations and Challenges Under the New BBNJ Treaty
- Author
-
Basu, Arindam, Mandal, Sharda, Krishna Panicker, Laladhas, editor, Nelliyat, Prakash, editor, and Oommen, Oommen V., editor
- Published
- 2024
- Full Text
- View/download PDF
23. Evaluation of ring test with reference to deformation rate and specimen geometry in assessing the tensile behaviors of granite
- Author
-
Sarkar, Manali and Basu, Arindam
- Published
- 2025
- Full Text
- View/download PDF
24. Human Digital Twins and Machine Learning Applications in Precision Medicine and Surgery: Current State and Future Directions
- Author
-
Basu, Arindam, primary
- Published
- 2024
- Full Text
- View/download PDF
25. Spiking Neural Network Integrated Circuits: A Review of Trends and Future Directions
- Author
-
Basu, Arindam, Frenkel, Charlotte, Deng, Lei, and Zhang, Xueyong
- Subjects
Computer Science - Neural and Evolutionary Computing - Abstract
In this paper, we reviewed Spiking neural network (SNN) integrated circuit designs and analyzed the trends among mixed-signal cores, fully digital cores and large-scale, multi-core designs. Recently reported SNN integrated circuits are compared under three broad categories: (a) Large-scale multi-core designs that have dedicated NOC for spike routing, (b) digital single-core designs and (c) mixed-signal single-core designs. Finally, we finish the paper with some directions for future progress.
- Published
- 2022
26. A 915-1220 TOPS/W Hybrid In-Memory Computing based Image Restoration and Region Proposal Integrated Circuit for Neuromorphic Vision Sensors in 65nm CMOS
- Author
-
Zhang, Xueyong and Basu, Arindam
- Subjects
Computer Science - Hardware Architecture ,Electrical Engineering and Systems Science - Image and Video Processing ,Electrical Engineering and Systems Science - Signal Processing - Abstract
In this work, we present a hybrid memory bit cell - collocated SRAM and DRAM (CRAM) consisting of 11 transistors for in-memory computing (IMC) based image restoration (IR) and region proposal (RP). A robust RP updated algorithm is proposed to improve the performance. This work demonstrates IMC based global parallel diffusion and column/row-wise projection to achieve a maximal energy efficiency of 1220 TOPS/W for image restoration and 915 TOPS/W when combined with region proposal.
- Published
- 2022
27. Post pandemic analysis on comprehensive utilization of telehealth and telemedicine
- Author
-
Ndwabe, Hamunyare, Basu, Arindam, and Mohammed, Jalal
- Published
- 2024
- Full Text
- View/download PDF
28. Halide perovskite photovoltaics for in-sensor reservoir computing
- Author
-
Sharma, Divyam, Luqman, Alka, Ng, Si En, Yantara, Natalia, Xing, Xuechao, Tay, Yeow Boon, Basu, Arindam, Chattopadhyay, Anupam, and Mathews, Nripan
- Published
- 2024
- Full Text
- View/download PDF
29. DeepFreeze: Cold Boot Attacks and High Fidelity Model Recovery on Commercial EdgeML Device
- Author
-
Won, Yoo-Seung, Chatterjee, Soham, Jap, Dirmanto, Basu, Arindam, and Bhasin, Shivam
- Subjects
Computer Science - Cryptography and Security - Abstract
EdgeML accelerators like Intel Neural Compute Stick 2 (NCS) can enable efficient edge-based inference with complex pre-trained models. The models are loaded in the host (like Raspberry Pi) and then transferred to NCS for inference. In this paper, we demonstrate practical and low-cost cold boot based model recovery attacks on NCS to recover the model architecture and weights, loaded from the Raspberry Pi. The architecture is recovered with 100% success and weights with an error rate of 0.04%. The recovered model reports maximum accuracy loss of 0.5% as compared to original model and allows high fidelity transfer of adversarial examples. We further extend our study to other cold boot attack setups reported in the literature with higher error rates leading to accuracy loss as high as 70%. We then propose a methodology based on knowledge distillation to correct the erroneous weights in recovered model, even without access to original training data. The proposed attack remains unaffected by the model encryption features of the OpenVINO and NCS framework., Comment: 9 pages, 8 figures
- Published
- 2021
30. A 51.3 TOPS/W, 134.4 GOPS In-memory Binary Image Filtering in 65nm CMOS
- Author
-
Bose, Sumon Kumar, Singla, Deepak, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Image and Video Processing ,Computer Science - Hardware Architecture ,Computer Science - Emerging Technologies - Abstract
Neuromorphic vision sensors (NVS) can enable energy savings due to their event-driven that exploits the temporal redundancy in video streams from a stationary camera. However, noise-driven events lead to the false triggering of the object recognition processor. Image denoise operations require memoryintensive processing leading to a bottleneck in energy and latency. In this paper, we present in-memory filtering (IMF), a 6TSRAM in-memory computing based image denoising for eventbased binary image (EBBI) frame from an NVS. We propose a non-overlap median filter (NOMF) for image denoising. An inmemory computing framework enables hardware implementation of NOMF leveraging the inherent read disturb phenomenon of 6T-SRAM. To demonstrate the energy-saving and effectiveness of the algorithm, we fabricated the proposed architecture in a 65nm CMOS process. As compared to fully digital implementation, IMF enables > 70x energy savings and a > 3x improvement of processing time when tested with the video recordings from a DAVIS sensor and achieves a peak throughput of 134.4 GOPS. Furthermore, the peak energy efficiencies of the NOMF is 51.3 TOPS/W, comparable with state of the art inmemory processors. We also show that the accuracy of the images obtained by NOMF provide comparable accuracy in tracking and classification applications when compared with images obtained by conventional median filtering., Comment: 13 pages
- Published
- 2021
- Full Text
- View/download PDF
31. Prospects for Analog Circuits in Deep Networks
- Author
-
Liu, Shih-Chii, Strachan, John Paul, and Basu, Arindam
- Subjects
Computer Science - Neural and Evolutionary Computing ,Computer Science - Emerging Technologies - Abstract
Operations typically used in machine learning al-gorithms (e.g. adds and soft max) can be implemented bycompact analog circuits. Analog Application-Specific Integrated Circuit (ASIC) designs that implement these algorithms using techniques such as charge sharing circuits and subthreshold transistors, achieve very high power efficiencies. With the recent advances in deep learning algorithms, focus has shifted to hardware digital accelerator designs that implement the prevalent matrix-vector multiplication operations. Power in these designs is usually dominated by the memory access power of off-chip DRAM needed for storing the network weights and activations. Emerging dense non-volatile memory technologies can help to provide on-chip memory and analog circuits can be well suited to implement the needed multiplication-vector operations coupled with in-computing memory approaches. This paper presents abrief review of analog designs that implement various machine learning algorithms. It then presents an outlook for the use ofanalog circuits in low-power deep network accelerators suitable for edge or tiny machine learning applications., Comment: 6 pages, 4 figures
- Published
- 2021
32. A $0.11-0.38$ pJ/cycle Differential Ring Oscillator in $65$ nm CMOS for Robust Neurocomputing
- Author
-
Zhang, Xueyong, Acharya, Jyotibdha, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Signal Processing - Abstract
This paper presents a low-area and low-power consumption CMOS differential current controlled oscillator (CCO) for neuromorphic applications. The oscillation frequency is improved over the conventional one by reducing the number of MOS transistors thus lowering the load capacitor in each stage. The analysis shows that for the same power consumption, the oscillation frequency can be increased about $11\%$ compared with the conventional one without degrading the phase noise. Alternatively, the power consumption can be reduced $15\%$ at the same frequency. The prototype structures are fabricated in a standard $65$ nm CMOS technology and measurements demonstrate that the proposed CCO operates from $0.7-1.2$ V supply with maximum frequencies of $80$ MHz and energy/cycle ranging from $0.11-0.38$ pJ over the tuning range. Further, system level simulations show that the nonlinearity in current-frequency conversion by the CCO does not affect its use as a neuron in a Deep Neural Network if accounted for during training.
- Published
- 2020
33. ADIC: Anomaly Detection Integrated Circuit in 65nm CMOS utilizing Approximate Computing
- Author
-
Kar, Bapi, Gopalakrishnan, Pradeep Kumar, Bose, Sumon Kumar, Roy, Mohendra, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Signal Processing ,Computer Science - Hardware Architecture ,Computer Science - Machine Learning - Abstract
In this paper, we present a low-power anomaly detection integrated circuit (ADIC) based on a one-class classifier (OCC) neural network. The ADIC achieves low-power operation through a combination of (a) careful choice of algorithm for online learning and (b) approximate computing techniques to lower average energy. In particular, online pseudoinverse update method (OPIUM) is used to train a randomized neural network for quick and resource efficient learning. An additional 42% energy saving can be achieved when a lighter version of OPIUM method is used for training with the same number of data samples lead to no significant compromise on the quality of inference. Instead of a single classifier with large number of neurons, an ensemble of K base learner approach is chosen to reduce learning memory by a factor of K. This also enables approximate computing by dynamically varying the neural network size based on anomaly detection. Fabricated in 65nm CMOS, the ADIC has K = 7 Base Learners (BL) with 32 neurons in each BL and dissipates 11.87pJ/OP and 3.35pJ/OP during learning and inference respectively at Vdd = 0.75V when all 7 BLs are enabled. Further, evaluated on the NASA bearing dataset, approximately 80% of the chip can be shut down for 99% of the lifetime leading to an energy efficiency of 0.48pJ/OP, an 18.5 times reduction over full-precision computing running at Vdd = 1.2V throughout the lifetime., Comment: 12
- Published
- 2020
- Full Text
- View/download PDF
34. A Hybrid Neuromorphic Object Tracking and Classification Framework for Real-time Systems
- Author
-
Ussa, Andres, Rajen, Chockalingam Senthil, Singla, Deepak, Acharya, Jyotibdha, Chuanrong, Gideon Fu, Basu, Arindam, and Ramesh, Bharath
- Subjects
Computer Science - Computer Vision and Pattern Recognition - Abstract
Deep learning inference that needs to largely take place on the 'edge' is a highly computational and memory intensive workload, making it intractable for low-power, embedded platforms such as mobile nodes and remote security applications. To address this challenge, this paper proposes a real-time, hybrid neuromorphic framework for object tracking and classification using event-based cameras that possess properties such as low-power consumption (5-14 mW) and high dynamic range (120 dB). Nonetheless, unlike traditional approaches of using event-by-event processing, this work uses a mixed frame and event approach to get energy savings with high performance. Using a frame-based region proposal method based on the density of foreground events, a hardware-friendly object tracking scheme is implemented using the apparent object velocity while tackling occlusion scenarios. The object track input is converted back to spikes for TrueNorth classification via the energy-efficient deep network (EEDN) pipeline. Using originally collected datasets, we train the TrueNorth model on the hardware track outputs, instead of using ground truth object locations as commonly done, and demonstrate the ability of our system to handle practical surveillance scenarios. As an optional paradigm, to exploit the low latency and asynchronous nature of neuromorphic vision sensors (NVS), we also propose a continuous-time tracker with C++ implementation where each event is processed individually. Thereby, we extensively compare the proposed methodologies to state-of-the-art event-based and frame-based methods for object tracking and classification, and demonstrate the use case of our neuromorphic approach for real-time and embedded applications without sacrificing performance. Finally, we also showcase the efficacy of the proposed system to a standard RGB camera setup when evaluated over several hours of traffic recordings., Comment: 11 pages, 8 figures. arXiv admin note: substantial text overlap with arXiv:1910.09806
- Published
- 2020
35. EBBINNOT: A Hardware Efficient Hybrid Event-Frame Tracker for Stationary Dynamic Vision Sensors
- Author
-
Mohan, Vivek, Singla, Deepak, Pulluri, Tarun, Ussa, Andres, Gopalakrishnan, Pradeep Kumar, Sun, Pao-Sheng, Ramesh, Bharath, and Basu, Arindam
- Subjects
Computer Science - Computer Vision and Pattern Recognition ,Electrical Engineering and Systems Science - Image and Video Processing - Abstract
As an alternative sensing paradigm, dynamic vision sensors (DVS) have been recently explored to tackle scenarios where conventional sensors result in high data rate and processing time. This paper presents a hybrid event-frame approach for detecting and tracking objects recorded by a stationary neuromorphic sensor, thereby exploiting the sparse DVS output in a low-power setting for traffic monitoring. Specifically, we propose a hardware efficient processing pipeline that optimizes memory and computational needs that enable long-term battery powered usage for IoT applications. To exploit the background removal property of a static DVS, we propose an event-based binary image creation that signals presence or absence of events in a frame duration. This reduces memory requirement and enables usage of simple algorithms like median filtering and connected component labeling for denoise and region proposal respectively. To overcome the fragmentation issue, a YOLO inspired neural network based detector and classifier to merge fragmented region proposals has been proposed. Finally, a new overlap based tracker was implemented, exploiting overlap between detections and tracks is proposed with heuristics to overcome occlusion. The proposed pipeline is evaluated with more than 5 hours of traffic recording spanning three different locations on two different neuromorphic sensors (DVS and CeleX) and demonstrate similar performance. Compared to existing event-based feature trackers, our method provides similar accuracy while needing approx 6 times less computes. To the best of our knowledge, this is the first time a stationary DVS based traffic monitoring solution is extensively compared to simultaneously recorded RGB frame-based methods while showing tremendous promise by outperforming state-of-the-art deep learning solutions., Comment: 16 pages, 13 figures
- Published
- 2020
36. Deep Neural Network for Respiratory Sound Classification in Wearable Devices Enabled by Patient Specific Model Tuning
- Author
-
Acharya, Jyotibdha and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Audio and Speech Processing ,Computer Science - Machine Learning ,Computer Science - Sound ,Statistics - Machine Learning - Abstract
The primary objective of this paper is to build classification models and strategies to identify breathing sound anomalies (wheeze, crackle) for automated diagnosis of respiratory and pulmonary diseases. In this work we propose a deep CNN-RNN model that classifies respiratory sounds based on Mel-spectrograms. We also implement a patient specific model tuning strategy that first screens respiratory patients and then builds patient specific classification models using limited patient data for reliable anomaly detection. Moreover, we devise a local log quantization strategy for model weights to reduce the memory footprint for deployment in memory constrained systems such as wearable devices. The proposed hybrid CNN-RNN model achieves a score of 66.31% on four-class classification of breathing cycles for ICBHI'17 scientific challenge respiratory sound database. When the model is re-trained with patient specific data, it produces a score of 71.81% for leave-one-out validation. The proposed weight quantization technique achieves ~4X reduction in total memory cost without loss of performance. The main contribution of the paper is as follows: Firstly, the proposed model is able to achieve state of the art score on the ICBHI'17 dataset. Secondly, deep learning models are shown to successfully learn domain specific knowledge when pre-trained with breathing data and produce significantly superior performance compared to generalized models. Finally, local log quantization of trained weights is shown to be able to reduce the memory requirement significantly. This type of patient-specific re-training strategy can be very useful in developing reliable long-term automated patient monitoring systems particularly in wearable healthcare solutions.
- Published
- 2020
- Full Text
- View/download PDF
37. Neuromorphic Spiking Neural Network Algorithms
- Author
-
Acharya, Jyotibdha, Basu, Arindam, and Thakor, Nitish V., editor
- Published
- 2023
- Full Text
- View/download PDF
38. The graduate nurse experience: A New Zealand perspective
- Author
-
Jamieson, Isabel Margaret, Sampath, Kesava, Basu, Arindam, Sims, Deborah, Greenless-Rae, Joanna, and Houston, Gail
- Published
- 2023
39. A 75kb SRAM in 65nm CMOS for In-Memory Computing Based Neuromorphic Image Denoising
- Author
-
Bose, Sumon Kumar, Mohan, Vivek, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Image and Video Processing ,Computer Science - Hardware Architecture ,Electrical Engineering and Systems Science - Signal Processing - Abstract
This paper presents an in-memory computing (IMC) architecture for image denoising. The proposed SRAM based in-memory processing framework works in tandem with approximate computing on a binary image generated from neuromorphic vision sensors. Implemented in TSMC 65nm process, the proposed architecture enables approximately 2000X energy savings (approximately 222X from IMC) compared to a digital implementation when tested with the video recordings from a DAVIS sensor and achieves a peak throughput of 1.25-1.66 frames/us., Comment: 8 pages
- Published
- 2020
40. HyNNA: Improved Performance for Neuromorphic Vision Sensor based Surveillance using Hybrid Neural Network Architecture
- Author
-
Singla, Deepak, Chatterjee, Soham, Ramapantulu, Lavanya, Ussa, Andres, Ramesh, Bharath, and Basu, Arindam
- Subjects
Electrical Engineering and Systems Science - Image and Video Processing ,Computer Science - Computer Vision and Pattern Recognition ,Computer Science - Machine Learning - Abstract
Applications in the Internet of Video Things (IoVT) domain have very tight constraints with respect to power and area. While neuromorphic vision sensors (NVS) may offer advantages over traditional imagers in this domain, the existing NVS systems either do not meet the power constraints or have not demonstrated end-to-end system performance. To address this, we improve on a recently proposed hybrid event-frame approach by using morphological image processing algorithms for region proposal and address the low-power requirement for object detection and classification by exploring various convolutional neural network (CNN) architectures. Specifically, we compare the results obtained from our object detection framework against the state-of-the-art low-power NVS surveillance system and show an improved accuracy of 82.16% from 63.1%. Moreover, we show that using multiple bits does not improve accuracy, and thus, system designers can save power and area by using only single bit event polarity information. In addition, we explore the CNN architecture space for object classification and show useful insights to trade-off accuracy for lower power using lesser memory and arithmetic operations., Comment: 4 pages, 2 figures
- Published
- 2020
41. Is my Neural Network Neuromorphic? Taxonomy, Recent Trends and Future Directions in Neuromorphic Engineering
- Author
-
Bose, Sumon Kumar, Acharya, Jyotibdha, and Basu, Arindam
- Subjects
Computer Science - Emerging Technologies ,Computer Science - Machine Learning ,Computer Science - Neural and Evolutionary Computing ,Statistics - Machine Learning - Abstract
In this paper, we review recent work published over the last 3 years under the umbrella of Neuromorphic engineering to analyze what are the common features among such systems. We see that there is no clear consensus but each system has one or more of the following features:(1) Analog computing (2) Non vonNeumann Architecture and low-precision digital processing (3) Spiking Neural Networks (SNN) with components closely related to biology. We compare recent machine learning accelerator chips to show that indeed analog processing and reduced bit precision architectures have best throughput, energy and area efficiencies. However, pure digital architectures can also achieve quite high efficiencies by just adopting a non von-Neumann architecture. Given the design automation tools for digital hardware design, it raises a question on the likelihood of adoption of analog processing in the near future for industrial designs. Next, we argue about the importance of defining standards and choosing proper benchmarks for the progress of neuromorphic system designs and propose some desired characteristics of such benchmarks. Finally, we show brain-machine interfaces as a potential task that fulfils all the criteria of such benchmarks., Comment: 6 pages
- Published
- 2020
42. ADEPOS: A Novel Approximate Computing Framework for Anomaly Detection Systems and its Implementation in 65nm CMOS
- Author
-
Bose, Sumon Kumar, Kar, Bapi, Roy, Mohendra, Gopalakrishnan, Pradeep Kumar, Lei, Zhang, Patil, Aakash, and Basu, Arindam
- Subjects
Computer Science - Machine Learning ,Statistics - Machine Learning - Abstract
To overcome the energy and bandwidth limitations of traditional IoT systems, edge computing or information extraction at the sensor node has become popular. However, now it is important to create very low energy information extraction or pattern recognition systems. In this paper, we present an approximate computing method to reduce the computation energy of a specific type of IoT system used for anomaly detection (e.g. in predictive maintenance, epileptic seizure detection, etc). Termed as Anomaly Detection Based Power Savings (ADEPOS), our proposed method uses low precision computing and low complexity neural networks at the beginning when it is easy to distinguish healthy data. However, on the detection of anomalies, the complexity of the network and computing precision are adaptively increased for accurate predictions. We show that ensemble approaches are well suited for adaptively changing network size. To validate our proposed scheme, a chip has been fabricated in UMC65nm process that includes an MSP430 microprocessor along with an on-chip switching mode DC-DC converter for dynamic voltage and frequency scaling. Using NASA bearing dataset for machine health monitoring, we show that using ADEPOS we can achieve 8.95X saving of energy along the lifetime without losing any detection accuracy. The energy savings are obtained by reducing the execution time of the neural network on the microprocessor., Comment: 14 pages
- Published
- 2019
43. A low-power end-to-end hybrid neuromorphic framework for surveillance applications
- Author
-
Ussa, Andres, Della Vedova, Luca, Padala, Vandana Reddy, Singla, Deepak, Acharya, Jyotibdha, Lei, Charles Zhang, Orchard, Garrick, Basu, Arindam, and Ramesh, Bharath
- Subjects
Computer Science - Computer Vision and Pattern Recognition - Abstract
With the success of deep learning, object recognition systems that can be deployed for real-world applications are becoming commonplace. However, inference that needs to largely take place on the `edge' (not processed on servers), is a highly computational and memory intensive workload, making it intractable for low-power mobile nodes and remote security applications. To address this challenge, this paper proposes a low-power (5W) end-to-end neuromorphic framework for object tracking and classification using event-based cameras that possess desirable properties such as low power consumption (5-14 mW) and high dynamic range (120 dB). Nonetheless, unlike traditional approaches of using event-by-event processing, this work uses a mixed frame and event approach to get energy savings with high performance. Using a frame-based region proposal method based on the density of foreground events, a hardware-friendly object tracking is implemented using the apparent object velocity while tackling occlusion scenarios. For low-power classification of the tracked objects, the event camera is interfaced to IBM TrueNorth, which is time-multiplexed to tackle up to eight instances for a traffic monitoring application. The frame-based object track input is converted back to spikes for Truenorth classification via the energy efficient deep network (EEDN) pipeline. Using originally collected datasets, we train the TrueNorth model on the hardware track outputs, instead of using ground truth object locations as commonly done, and demonstrate the efficacy of our system to handle practical surveillance scenarios. Finally, we compare the proposed methodologies to state-of-the-art event-based systems for object tracking and classification, and demonstrate the use case of our neuromorphic approach for low-power applications without sacrificing on performance., Comment: 12 pages, 3 figures, pre-print to BMVC workshops 2018
- Published
- 2019
44. EBBIOT: A Low-complexity Tracking Algorithm for Surveillance in IoVT Using Stationary Neuromorphic Vision Sensors
- Author
-
Acharya, Jyotibdha, Caycedo, Andres Ussa, Padala, Vandana Reddy, Singh, Rishi Raj Sidhu, Orchard, Garrick, Ramesh, Bharath, and Basu, Arindam
- Subjects
Computer Science - Computer Vision and Pattern Recognition - Abstract
In this paper, we present EBBIOT-a novel paradigm for object tracking using stationary neuromorphic vision sensors in low-power sensor nodes for the Internet of Video Things (IoVT). Different from fully event based tracking or fully frame based approaches, we propose a mixed approach where we create event-based binary images (EBBI) that can use memory efficient noise filtering algorithms. We exploit the motion triggering aspect of neuromorphic sensors to generate region proposals based on event density counts with >1000X less memory and computes compared to frame based approaches. We also propose a simple overlap based tracker (OT) with prediction based handling of occlusion. Our overall approach requires 7X less memory and 3X less computations than conventional noise filtering and event based mean shift (EBMS) tracking. Finally, we show that our approach results in significantly higher precision and recall compared to EBMS approach as well as Kalman Filter tracker when evaluated over 1.1 hours of traffic recordings at two different locations., Comment: 6 pages, 5 figures
- Published
- 2019
45. Chronicling Energy Law in India in the Era of Low-Carbon Transition
- Author
-
Shankar, Uday, primary and Basu, Arindam, additional
- Published
- 2023
- Full Text
- View/download PDF
46. Spiking Neural Network based Region Proposal Networks for Neuromorphic Vision Sensors
- Author
-
Acharya, Jyotibdha, Padala, Vandana, and Basu, Arindam
- Subjects
Computer Science - Neural and Evolutionary Computing ,Computer Science - Emerging Technologies - Abstract
This paper presents a three layer spiking neural network based region proposal network operating on data generated by neuromorphic vision sensors. The proposed architecture consists of refractory, convolution and clustering layers designed with bio-realistic leaky integrate and fire (LIF) neurons and synapses. The proposed algorithm is tested on traffic scene recordings from a DAVIS sensor setup. The performance of the region proposal network has been compared with event based mean shift algorithm and is found to be far superior (~50% better) in recall for similar precision (~85%). Computational and memory complexity of the proposed method are also shown to be similar to that of event based mean shift, Comment: Accepted in IEEE ISCAS, 2019
- Published
- 2019
47. Intelligent Intracortical Brain-Machine Interfaces : Next Generation of Scalable Neural Interfaces
- Author
-
Shaikh, Shoeb, Basu, Arindam, and Sawan, Mohamad, editor
- Published
- 2022
- Full Text
- View/download PDF
48. Prospects for Analog Circuits in Deep Networks
- Author
-
Liu, Shih-Chii, Strachan, John Paul, Basu, Arindam, Harpe, Pieter, editor, Makinwa, Kofi A.A., editor, and Baschirotto, Andrea, editor
- Published
- 2022
- Full Text
- View/download PDF
49. A 0.16pJ/bit Recurrent Neural Network Based PUF for Enhanced Machine Learning Atack Resistance
- Author
-
Shah, Nimesh, Alam, Manaar, Sahoo, Durga Prasad, Mukhopadhyay, Debdeep, and Basu, Arindam
- Subjects
Computer Science - Cryptography and Security - Abstract
Physically Unclonable Function (PUF) circuits are finding widespread use due to increasing adoption of IoT devices. However, the existing strong PUFs such as Arbiter PUFs (APUF) and its compositions are susceptible to machine learning (ML) attacks because the challenge-response pairs have a linear relationship. In this paper, we present a Recurrent-Neural-Network PUF (RNN-PUF) which uses a combination of feedback and XOR function to significantly improve resistance to ML attack, without significant reduction in the reliability. ML attack is also partly reduced by using a shared comparator with offset-cancellation to remove bias and save power. From simulation results, we obtain ML attack accuracy of 62% for different ML algorithms, while reliability stays above 93%. This represents a 33.5% improvement in our Figure-of-Merit. Power consumption is estimated to be 12.3uW with energy/bit of ~ 0.16pJ.
- Published
- 2018
50. Experimental Comparison of Hardware-Amenable Spike Detection Algorithms for iBMIs
- Author
-
Shaikh, Shoeb, So, Rosa, Libedinsky, Camilo, and Basu, Arindam
- Subjects
Quantitative Biology - Neurons and Cognition - Abstract
This paper presents an experiment based comparison of absolute threshold (AT) and non-linear energy operator (NEO) spike detection algorithms in Intra-cortical Brain Machine Interfaces (iBMIs). Results show an average increase in decoding performance of approx. 5% in monkey A across 28 sessions recorded over 6 days and approx. 2% in monkey B across 35 sessions recorded over 8 days when using NEO over AT. To the best of our knowledge, this is the first ever reported comparison of spike detection algorithms in an iBMI experimental framework involving two monkeys. Based on the improvements observed in an experimental setting backed by previously reported improvements in simulation studies, we advocate switching from state of the art spike detection technique - AT to NEO., Comment: accepted at NER (Neural Engineering Conference) - 2019
- Published
- 2018
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.