20 results on '"DTCO"'
Search Results
2. Overview of IC Design
- Author
-
Yin, Shouyi, Wang, Yangyuan, editor, Chi, Min-Hwa, editor, Lou, Jesse Jen-Chung, editor, and Chen, Chun-Zhang, editor
- Published
- 2024
- Full Text
- View/download PDF
3. Large-Scale Training in Neural Compact Models for Accurate and Adaptable MOSFET Simulation
- Author
-
Chanwoo Park, Seungjun Lee, Junghwan Park, Kyungjin Rim, Jihun Park, Seonggook Cho, Jongwook Jeon, and Hyunbo Cho
- Subjects
Compact model ,DTCO ,foundation model ,MOSFET ,neural network ,Electrical engineering. Electronics. Nuclear engineering ,TK1-9971 - Abstract
We address the challenges associated with traditional analytical models, such as BSIM, in semiconductor device modeling. These models often face limitations in accurately representing the complex behaviors of miniaturized devices. As an alternative, Neural Compact Models (NCMs) offer improved modeling capabilities, but their effectiveness is constrained by a reliance on extensive datasets for accurate performance. In real-world scenarios, where measurements for device modeling are often limited, this dependence becomes a significant hindrance. In response, this work presents a large-scale pre-training approach for NCMs. By utilizing extensive datasets across various technology nodes, our method enables NCMs to develop a more detailed understanding of device behavior, thereby enhancing the accuracy and adaptability of MOSFET device simulations, particularly when data availability is limited. Our study illustrates the potential benefits of large-scale pre-training in enhancing the capabilities of NCMs, offering a practical solution to one of the key challenges in current device modeling practices.
- Published
- 2024
- Full Text
- View/download PDF
4. Analytical Variable Execution of GDI Vedic Multiplier Using FinFET Full Adder
- Author
-
Dilshad, S. K., Santosh, G. Sai Krishna, Angrisani, Leopoldo, Series Editor, Arteaga, Marco, Series Editor, Panigrahi, Bijaya Ketan, Series Editor, Chakraborty, Samarjit, Series Editor, Chen, Jiming, Series Editor, Chen, Shanben, Series Editor, Chen, Tan Kay, Series Editor, Dillmann, Rüdiger, Series Editor, Duan, Haibin, Series Editor, Ferrari, Gianluigi, Series Editor, Ferre, Manuel, Series Editor, Hirche, Sandra, Series Editor, Jabbari, Faryar, Series Editor, Jia, Limin, Series Editor, Kacprzyk, Janusz, Series Editor, Khamis, Alaa, Series Editor, Kroeger, Torsten, Series Editor, Li, Yong, Series Editor, Liang, Qilian, Series Editor, Martín, Ferran, Series Editor, Ming, Tan Cher, Series Editor, Minker, Wolfgang, Series Editor, Misra, Pradeep, Series Editor, Möller, Sebastian, Series Editor, Mukhopadhyay, Subhas, Series Editor, Ning, Cun-Zheng, Series Editor, Nishida, Toyoaki, Series Editor, Pascucci, Federica, Series Editor, Qin, Yong, Series Editor, Seng, Gan Woon, Series Editor, Speidel, Joachim, Series Editor, Veiga, Germano, Series Editor, Wu, Haitao, Series Editor, Zamboni, Walter, Series Editor, Zhang, Junjie James, Series Editor, Chakravarthy, V. V. S. S. S., editor, Flores-Fuentes, Wendy, editor, Bhateja, Vikrant, editor, and Biswal, B.N., editor
- Published
- 2022
- Full Text
- View/download PDF
5. Monolithic 3D Semiconductor Footprint Scaling Exploration Based on VFET Standard Cell Layout Methodology, Design Flow, and EDA Platform
- Author
-
Chung-Kuan Cheng, Chia-Tung Ho, Daeyeal Lee, and Bill Lin
- Subjects
3D integration ,DTCO ,pin-density wall ,routing congestion ,STCO ,VFET ,Electrical engineering. Electronics. Nuclear engineering ,TK1-9971 - Abstract
Continued scaling in accordance with Moore’s law is becoming increasingly difficult. Pitch shrinkage and standard cell height reduction via design technology co-optimization with design rules have sustained this scaling until recently. However, we observe that standard cell device scaling is becoming saturated due to yield and cost. One way to continue device footprint reduction is by expanding in the third dimension via monolithic 3D integration, using for example stacked gate-all-around (GAA) devices, complementary FETs, vertical FETs, and 3D logic. However, using these footprint scaling approaches to increase device density creates new problems. Using vertical gate-all-around FET (VFET) technologies as a specific instance of 3D device scaling, we demonstrate that the key bottleneck to footprint scaling is the pin density wall. The footprint of a block is predominantly limited by the pin density as we increase the number of active device layers. While a full-blown paradigm shift on layout methodology, design flow, and electronic design automation (EDA) platform is not available now, we describe in this article three specific baby steps that can alleviate the pin density problem and demonstrate their potential benefits for footprint scaling: (1) allocating standard cell pin sideways and using block-level routing with the local interconnect layers; (2) using the backside of the substrate for the power distribution network; and (3) using the generation of more complex standard cells. We show via several core designs that a 42.6% reduction in the core area is achievable when a combination of these operations is employed.
- Published
- 2022
- Full Text
- View/download PDF
6. FinFET-Based Inverter Design and Optimization at 7 Nm Technology Node.
- Author
-
Jena, J., Jena, D., Mohapatra, E., Das, S., and Dash, T. P.
- Abstract
Stress engineering is one of the best techniques to enhance the potential of a device. In the first phase of this work, the impact of stress on the physical and electrical performance of FinFET based inverter is investigated using 2D and 1D stress mapping techniques. Electrons and holes mobility enhancements are presented in the sidewall fins of <100> and < 110> direction respectively, by resulting tensile stress in n-FinFET and compressive stress in p-FinFET. According to the sidewall orientation (<100 > or < 110>), the amount of mobility enhancement of both the electrons and holes are resulting in more than 100% (>100%) and less than 25% (<25%) respectively. In the second phase, Design Technique Co-Optimization (DTCO) method is approached in inverter standard cells generation to enable the VLSI digital system design flow based on standard cells using FinFET. FinFET-based inverters at 7 nm technology nodes is designed using the GTS TCAD framework. The optimal electrical characteristics such as current density, throughput delay, average power dissipation, and switching energy are presented with optimal design. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
7. Enabling Variability-Aware Design-Technology Co-Optimization for Advanced Memory Technologies
- Author
-
Salvatore M. Amoroso, Plamen Asenov, Jaehyun Lee, Nara Kim, Ko-Hsin Lee, Yaohua Tan, Yong-Seog Oh, Lee Smith, Xi-Wei Lin, and Victor Moroz
- Subjects
dtco ,statistical variability ,process variability ,semiconductor memories ,dram ,cmos ,scaling ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 ,Production capacity. Manufacturing capacity ,T58.7-58.8 - Abstract
This paper presents a TCAD-based methodology to enable Design-Technology Co-Optimization (DTCO) of advanced semiconductor memories. After reviewing the DTCO approach to semiconductor devices scaling, we introduce a multi-stage simulation flow to study the device-to-circuit performance of advanced memory technologies in presence of statistical and process variability. We present a DRAM example to highlight the DTCO enablement for both memory and periphery. Our analysis demonstrates how the evaluation of different possible technology improvements and design combinations can be carried out to maximize the benefits of continuous technology scaling for a given set of manufacturing equipment.
- Published
- 2020
- Full Text
- View/download PDF
8. Process, Circuit and System Co-optimization of Wafer Level Co-Integrated FinFET with Vertical Nanosheet Selector for STT-MRAM Applications.
- Author
-
Trong Huynh-Bao, Veloso, Anabela, Sakhare, Sushil, Matagne, Philippe, Ryckaert, Julien, Perumkunnil, Manu, Crotti, Davide, Yasin, Farrukh, Spessot, Alessio, Furnemont, Arnaud, Kar, Gouri, and Mocuta, Anda
- Subjects
TRANSISTORS ,COINTEGRATION ,ELECTROSTATICS ,OXIDATION kinetics ,MAGNETIC fields - Abstract
We present for the first time a co-integrated FinFET with vertical nanosheet transistor (VFET) process on a 300 mm silicon wafer for STT-MRAM applications and its related avenues with a holistic design-technology-co-optimization (DTCO) and power-performance-area-cost (PPAC) approach. The STT-MRAM bitcell and a 2 Mbit macro have been optimized and designed to address the viability of the co-integration process and advantages of vertical channel transistors for STT-MRAM selectors. An architectural system simulator GEM5 has been also employed with Polybench workloads to assess energy saving at system-level. In order to enable this co-integration, four extra masks are required, which costs below 10% in embedded chips. A 36% area reduction can be achieved for the STT-MRAM bitcell implemented with VFET selectors. With a UVLT flavor, the STT-MRAM bitcell comprising of 3-nanosheet could deliver the same performance of the 4-fin LVT FinFET selector. A 2 Mbit STT-MRAM macro designed with VFET selector can offer a 17% and a 21% reduction for read access latency and energy per operation respectively, and a 10% for write energy per operation. A 7% energy saving for the STT-MRAM L2 cache using VFET selector has been observed at the system level with Polybench workloads. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
9. On the SRAM with comb-shaped nano FETs advancing to 3 nm node and beyond.
- Author
-
Li, Xinhao, Zhu, Huilong, Kong, Zhenzhen, Wang, Qi, Zhang, Yongkui, and Wu, Zhenhua
- Subjects
- *
STATIC random access memory , *TRANSISTORS , *VOLTAGE , *SPEED , *NOISE - Abstract
In this paper, we describe several scaling challenges of SRAM consisting of FinFETs and horizontal Gate-All-Around (GAA) Nano-sheet Field-Effect-Transistors (NshFETs), especially investigations related to Design-Technology Co-Optimization (DTCO). Comb-shaped channel FETs (CombFETs), which integrates the advantages of FinFETs and NshFETs were introduced to a six-transistor (6 T) SRAM cell and the corresponding simulations were established. The results show that compared with both FinFETs and NshFETs, CombFETs have larger the effective channel width or higher current at the same footprint and larger room for improving the mobility mismatch between N/P transistors. Moreover, CombFET SRAM showed ∼55% increase in effective channel width, 15% improvement of read static noise margin, ∼25% write speed gain, 88% read speed gain or 20% decrease in the minimum operating voltage (Vmin). [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
10. Digital IC design with sub-3nm CMOS technology and 3D integration: Pathfinding towards the enablement of emerging technologies
- Author
-
Milojevic, Dragomir, Quitin, François, Determe, Jean-François, Benini, Luca LB, Catthoor, Francky, Ryckaert, Julien, Beyne, Eric, Chou, Richard RC, Sisto, Giuliano, Milojevic, Dragomir, Quitin, François, Determe, Jean-François, Benini, Luca LB, Catthoor, Francky, Ryckaert, Julien, Beyne, Eric, Chou, Richard RC, and Sisto, Giuliano
- Abstract
Ever since their invention in 1959, integrated circuits (IC) have become an essential part of all modern electronic systems, whose backbone is represented by the CMOS device. Gordon Moore famously predicted in 1975 that the amount of these devices in a circuit doubles approximately every two years for two reasons: device size shrinking and chip area increase. For decades, CMOS scaling met the expectations with each generation showing great improvement over the previous one. However, the trend is now changing. In recent years, extremely small device sizes have introduced new technological and physical limitations. As a result, CMOS scaling is nowadays a lot slower than it used to be. The Design-Technology Co-Optimization approach aims at tackling these scaling barriers issues by intensifying the link between technology process and design. The main goal is to enable performance improvement at a higher level while keeping process costs as low as possible at the device level. Going forward, the interaction between technology and design is bound to become even more relevant, extending to the system level. To maximize these benefits, it is important to re-think some historical design staples, such as local and global interconnects, where currently most of the benefits appear only when considering the full system. Therefore, a transition from design to system-technology co-optimization represents a natural evolution step in the scaling roadmap. The work presented in this dissertation is driven by two main objectives: (i) enable equivalent CMOS scaling below the 3nm threshold through design-technology co-optimization,(ii) by leveraging the developed boosters, lay the foundation for system-technology co-optimization and propose a complete design flow to explore the potential of fine-grained 3D integration. For both targets, the goal is to build design methodologies for the enablement of block and system-level scaling boosters. In view of that, the content is structured by se, Depuis leur invention en 1959, les circuits intégrés (CI) occupent un rôle essentiel dans les systèmes électroniques modernes dont les bases reposent sur le dispositif CMOS. Par sa célèbre loi éponyme, Gordon Moore prédisait en 1975 que le nombre ces dispositif par CI doublerait approximativement tous les deux ans et ce pour deux raisons: le rétrécissement des dispositifs CMOS et l’accroissement de l’aire de substrat utilisable. Pendant des décennies, cette loi fut vérifiée, chaque génération manifestant de grandes améliorations par rapport la précédente. Cette tendance est désormais remise en cause. Ces dernières années, le développement des dispositifs CMOS se heurte à de nouvelle limites technologiques et physiques d‘échelle, ralentissant significativement sa progression. L'approche Design Technology Co-Optimization (DTCO) vise à adresser ces limites d'échelle en intensifiant le lien entre les procédés technologiques et la conception des CI, le but principal étant de permettre un accroissement des performance à un niveau élevé de conception tout en minimisant aux maximum les cout relatifs aux dispositifs.Il est certain qu'à l'avenir, l'interaction entre la technologie et le design sera amenée à gagner en importance, s'étendant plus largement aux systèmes. Maximiser les bénéfices de ces approches requiert de repenser certaines pratiques de conception, telles que les interconnexions locales et globales, dont les bénéfices ne se manifestent qu'en considérant le système complet. En tant que tel, la transition d'une approche de co-optimisation conception-technologie vers une approche système-technologie représente une étape naturelle sur la feuille de route du scaling CMOS. Le travail présenté dans cette dissertation est motivé par deux objectifs: (i) permettre un scaling CMOS équivalent au-delà de la limite des 3nm par la co-optimisation du design et de la technologie, (ii) en exploitant les boosters ainsi développés, poser les fondations de la co-optimisation systèm, Doctorat en Sciences de l'ingénieur et technologie, info:eu-repo/semantics/nonPublished
- Published
- 2022
11. Design Technology Co-Optimization Method for RF EDA
- Author
-
Yuehang Xu
- Subjects
RF EDA ,DTCO - Abstract
OUTLINE Introduction QPZD nonlinear model Statistical model and yield optimization MMIC IP model Conculsion
- Published
- 2022
- Full Text
- View/download PDF
12. Predicting future complementary metal–oxide–semiconductor technology – challenges and approaches.
- Author
-
Aitken, Robert, Chandra, Vikas, Cline, Brian, Das, Shidhartha, Pietromonaco, David, Shifren, Lucian, Sinha, Saurabh, and Yeric, Greg
- Abstract
Long timescales and complex design processes require that CPU architects and microarchitects have early access to information about future manufacturing processes. In some cases, this means that future technology must be predicted in advance of it actually being developed. In addition, close collaboration with the foundries, known as 'Design‐Technology Co‐Optimisation', or DTCO, allows the mutual influence during development of microarchitecture, physical IP (standard cells and memories), and process technology. This predictive technology, in conjunction with early technology information or not, allow design exploration in the form of trial runs of synthesis, place and route to determine the predicted effects of various technology choices on CPU power, performance, and area. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
13. Device and Circuit Exploration of Multi-Nanosheet Transistor for Sub-3 nm Technology Node
- Author
-
Yoongeun Seon, Jongwook Jeon, Jeesoo Chang, and Changhyun Yoo
- Subjects
Materials science ,Computer Networks and Communications ,lcsh:TK7800-8360 ,02 engineering and technology ,Integrated circuit ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,law.invention ,Parasitic capacitance ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,multi-nanosheet FETs ,Electrical and Electronic Engineering ,Electronic circuit ,010302 applied physics ,business.industry ,Transistor ,lcsh:Electronics ,bottom oxide ,021001 nanoscience & nanotechnology ,Subthreshold slope ,TCAD/SPICE simulation ,Hardware and Architecture ,Control and Systems Engineering ,Signal Processing ,Parasitic element ,DTCO ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Technology CAD ,Hardware_LOGICDESIGN - Abstract
A multi-nanosheet field-effect transistor (mNS-FET) device was developed to maximize gate controllability while making the channel in the form of a sheet. The mNS-FET has superior gate controllability for the stacked channels, consequently, it can significantly reduce the short-channel effect (SCE), however, punch-through inevitably occurs in the bottom channel portion that is not surrounded by gates, resulting in a large leakage current. Moreover, as the size of the semiconductor device decreases to several nanometers, the influence of the parasitic resistance and parasitic capacitance increases. Therefore, it is essential to apply design&ndash, technology co-optimization, which analyzes not only the characteristics from the perspective of the device but also the performance from the circuit perspective. In this study, we used Technology Computer Aided Design (TCAD) simulation to analyze the characteristics of the device and directly fabricated a model that describes the current&ndash, voltage and gate capacitance characteristics of the device by using Berkeley short-channel insulated-gate field-effect transistor&ndash, common multi-gate (BSIM&ndash, CMG) parameters. Through this model, we completed the Simulation Program with Integrated Circuit Emphasis (SPICE) simulation for circuit analysis and analyzed it from the viewpoint of devices and circuits. When comparing the characteristics according to the presence or absence of bottom oxide by conducting the above research method, it was confirmed that subthreshold slope (SS) and drain-induced barrier lowering (DIBL) are improved, and power and performance in circuit characteristics are increased.
- Published
- 2021
- Full Text
- View/download PDF
14. Simulation, fabrication et caractérisation électrique de transistors MOS avancés pour une intégration 3D monolithique
- Author
-
Bosch, Daphnée, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Université Grenoble Alpes [2020-....], Francis Balestra, Claire Fenouillet-Béranger, François Andrieu, and STAR, ABES
- Subjects
Process ,Fabrication ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Dtco ,Intégration 3D séquentielle ,3D monolithic integration ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,In-Memory computing ,Junctionless - Abstract
Nowadays, Microelectronics industry must handle a real “data deluge” and a growing demand of added functionalities due to the new market sector of Internet Of Things, 5G but also Artificial Intelligence... At the same time, energy becomes a major issue and new computation paradigms emerge to break the traditional Von-Neumann architecture. In this context, this PhD manuscript explores both 3D monolithic integration and nano-electronic devices for In-Memory Computing. First, 3D monolithic integration is not seen only as an alternative to Moore’s law historic scaling but also to leverage circuit diversification. The advantages of this integration are analysed in depth and in particular an original top-tier Static Random Access Memories (SRAM) assist is proposed, improving significantly SRAM stability and performances without area overhead. In a second time, an original transistor architecture, called junctionless, suitable for 3D-monolithic integration is studied in detail. Devices are simulated, fabricated and electrically characterised for mixed digital/analog applications. In particular, the impact of channel doping density on mismatch is tackled. Also, low temperature (, De nos jours, l’industrie microélectronique doit maitriser un véritable « déluge de données » et une demande toujours en croissance de fonctionnalités ajoutées pour les nouveaux secteurs de marchés tels que la 5G, l’internet des objets, l’intelligence artificielle… Par ailleurs, l’énergie et sa gestion est un enjeu majeur au sein des architectures Von-Neumann traditionnelles. Dans ce cadre, ce travail de thèse explore l’intégration 3D monolithique ainsi que des dispositifs pour le calcul dans la mémoire. Premièrement, l’intégration 3D monolithique n’est pas perçue uniquement comme une alternative à la loi de Moore mais permet de diversifier les circuits. Les avantages de cette intégration sont analysés en détails et en particulier, une aide à la stabilité des mémoires SRAM (Static Random Access Memory) est proposée. Cette aide améliore significativement la stabilité ainsi que les performances des SRAM de l’étage supérieur, sans dégrader l’empreinte silicium. Secondement, des transistors sans jonctions (junctionless), compatibles avec une intégration 3D séquentielle sont étudiés. Les dispositifs sont simulés, fabriqués et caractérisés électriquement pour des applications digitales et analogiques. En particulier, l’impact du dopage canal sur la variabilité est analysée. Egalement des briques à basse température (
- Published
- 2020
15. Device and Circuit Exploration of Multi-Nanosheet Transistor for Sub-3 nm Technology Node.
- Author
-
Seon, Yoongeun, Chang, Jeesoo, Yoo, Changhyun, and Jeon, Jongwook
- Subjects
SIMULATION Program with Integrated Circuit Emphasis ,COMPUTER-aided design ,TRANSISTORS ,FIELD-effect transistors - Abstract
A multi-nanosheet field-effect transistor (mNS-FET) device was developed to maximize gate controllability while making the channel in the form of a sheet. The mNS-FET has superior gate controllability for the stacked channels; consequently, it can significantly reduce the short-channel effect (SCE); however, punch-through inevitably occurs in the bottom channel portion that is not surrounded by gates, resulting in a large leakage current. Moreover, as the size of the semiconductor device decreases to several nanometers, the influence of the parasitic resistance and parasitic capacitance increases. Therefore, it is essential to apply design–technology co-optimization, which analyzes not only the characteristics from the perspective of the device but also the performance from the circuit perspective. In this study, we used Technology Computer Aided Design (TCAD) simulation to analyze the characteristics of the device and directly fabricated a model that describes the current–voltage and gate capacitance characteristics of the device by using Berkeley short-channel insulated-gate field-effect transistor–common multi-gate (BSIM–CMG) parameters. Through this model, we completed the Simulation Program with Integrated Circuit Emphasis (SPICE) simulation for circuit analysis and analyzed it from the viewpoint of devices and circuits. When comparing the characteristics according to the presence or absence of bottom oxide by conducting the above research method, it was confirmed that subthreshold slope (SS) and drain-induced barrier lowering (DIBL) are improved, and power and performance in circuit characteristics are increased. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
16. Toward the 5nm technology: layout optimization and performance benchmark for logic/SRAMs using lateral and vertical GAA FETs
- Author
-
Piet Wambacq, Julien Ryckaert, Aaron Thean, Sushil Sakhare, Abdelkarim Mercha, Trong Huynh-Bao, Diederik Verkest, Capodieci, Luigi, Cain, Jason, Electronics and Informatics, and Faculty of Engineering
- Subjects
Computer science ,Extreme ultraviolet lithography ,Nanowire ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,disruptive transistor ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,6T-SRAMs ,EUVL ,Lithography ,Electronic circuit ,Leakage (electronics) ,010302 applied physics ,Hardware_MEMORYSTRUCTURES ,5nm technology ,standard-cells ,vertical GAA FETs ,020202 computer hardware & architecture ,lateral GAA FETs ,nanowire ,Electrode ,DTCO ,Critical path method ,CMOS scaling - Abstract
In this paper, we present a layout and performance analysis of logic and SRAM circuits for vertical and lateral GAA FETs using 5nm (iN5) design rules. Extreme ultra-violet lithography (EUVL) processes are exploited to print the critical features: 32 nm gate pitch and 24 nm metal pitch. Layout architectures and patterning compromises for enabling the 5nm node will be discussed in details. A distinct standard-cell template for vertical FETs is proposed and elaborated for the first time. To assess electrical performances, a BSIM-CMG model has been developed and calibrated with TCAD simulations, which accounts for the quasi-ballistic transport in the nanowire channel. The results show that the inbound power rail layout construct for vertical devices could achieve the highest density while the interleaving diffusion template can maximize the port accessibility. By using a representative critical path circuit of a generic low power SoCs, it is shown that the VFET-based circuit is 40% more energy efficient than LFET designs at iso-performance. Regarding SRAMs, benefits given by vertical channel orientation in VFETs has reduced the SRAM area by 20%~30% compared to lateral SRAMs. A double exposures with EUV canner is needed to reach a minimum tip-to-tip (T2T) of 16 nm for middle-of-line (MOL) layers. To enable HD SRAMs with two metal layers, a fully self-aligned gate contact for LFETs and 2D routing of the top electrode for VFETs are required. The standby leakage of vertical SRAMs is 4~6X lower than LFET-based SRAMs at iso-performance and iso-area. The minimum operating voltage (Vmin) of vertical SRAMs is 170 mV lower than lateral SRAMs. A high-density SRAM bitcell of 0.014 um2 can be obtained for the iN5 technology node, which fully follows the SRAM scaling trend for the 45nm nodes and beyond.
- Published
- 2016
- Full Text
- View/download PDF
17. A Comprehensive Benchmark and Optimization of 5-nm Lateral and Vertical GAA 6T-SRAMs
- Author
-
Aaron Thean, Diederik Verkest, Abdelkarim Mercha, Julien Ryckaert, Piet Wambacq, Trong Huynh-Bao, Sushil Sakhare, D. Yakimets, Electronics and Informatics, and Faculty of Engineering
- Subjects
VT targeting ,Nanowire ,LFET ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,WTP ,law.invention ,VFET ,law ,0103 physical sciences ,Static noise margin ,Static random-access memory ,Electrical and Electronic Engineering ,Electronic circuit ,Leakage (electronics) ,010302 applied physics ,Physics ,Hardware_MEMORYSTRUCTURES ,business.industry ,Transistor ,Electrical engineering ,6T-SRAM ,021001 nanoscience & nanotechnology ,Electronic, Optical and Magnetic Materials ,5nm ,RSNM ,CMOS ,Logic gate ,nanowire ,DTCO ,Optoelectronics ,0210 nano-technology ,business ,CMOS scaling ,Vmin - Abstract
In this paper, we present an intensive study of 6T-SRAM designs for vertical gate-all-around (GAA) transistors (VFETs) and lateral GAA transistors (LFETs) using 5-nm node design rules. Optimizations of the nanowire (NW) diameter and the gate length are also conducted to enhance the SRAM performance. Device $V_{T}$ retargeting has been proposed for improving the minimum operating voltage ( $V_{\min }$ ) of SRAMs. The isoperformance and isoyield have been performed to assess and determine the benefits provided by LFET and VFET architectures, respectively. Our results show that the VFET bitcells are denser than the LFET bitcells by 20%–30%. The SRAM read stability (read static noise margin) is significantly improved using the NW channel. For a $6\sigma $ yield target and an isoarea of SRAM bitcells, $V_{\min }$ of the VFET bitcell is 80 mV lower than LFET designs. Applying the proposed $V_{T}$ retargeting technique can allow the VFET 122 bitcell to operate at 0.57 V without using assist circuits. A standby leakage below 10 pA/cell can be achieved for both architectures. At isoperformance, the standby leakage of VFET bitcells is $2.6\times $ lower than LFET bitcells.
- Published
- 2016
- Full Text
- View/download PDF
18. Design technology co-optimization for enabling 5nm gate-all-around nanowire 6T SRAM
- Author
-
Piet Wambacq, Aaron Thean, Julien Ryckaert, T. Huynh-Bao, D. Yakimets, Abdelkarim Mercha, Diederik Verkest, Sushil Sakhare, Electronics and Informatics, and Faculty of Engineering
- Subjects
Engineering ,gate-all-around FETs ,vertical FET ,6T SRAM ,Nanowire ,Embedded memory ,on-chip variation ,Hardware_PERFORMANCEANDRELIABILITY ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Static random-access memory ,nonowire ,Design technology ,Random access memory ,Hardware_MEMORYSTRUCTURES ,business.industry ,Electrical engineering ,parametric yield ,5nm ,Power (physics) ,Logic gate ,DTCO ,Field-effect transistor ,business ,CMOS scaling ,Vmin - Abstract
This paper presents a comprehensive benchmarking and co-optimization of 6T SRAM bitcells designed with 5nm vertical and lateral gate-all-around nanowire FET technology for the first time. A variety of 6T SRAM bitcells configurations combined with different device integration scenarios will be discussed. Our results show that an ultra-dense SRAM bitcell (0.01 um2) can be achieved with vertical FET architecture. The bitcell designed with vertical FET are preferably targeted for low power applications while the lateral FET-based SRAM bitcells could provide 4.5x higher in performance, but resulting in a penalty of 17x increasing in the leakage current compared to the vertical designs. A Vmin of 0.45 V could be obtained for 122 SRAM bitcells implemented with vertical devices.
- Published
- 2015
- Full Text
- View/download PDF
19. Circuit and process co-design with vertical gate-all-around nanowire FET technology to extend CMOS scaling for 5nm and beyond technologies
- Author
-
Julien Ryckaert, Ivan Ciofi, Rogier Baert, D. Yakimets, Philippe Roussel, Juergen Boemmels, Diederik Verkest, T. Huynh Bao, Nadine Collaert, Anabela Veloso, A. V-Y. Thean, P. Wambacq, Steven Demuynck, Zsolt Tokei, Abdelkarim Mercha, Praveen Raghavan, Electronics and Informatics, and Faculty of Engineering
- Subjects
Co-design ,standard-cell library ,Engineering ,business.industry ,Process (engineering) ,Nanowire ,Hardware_PERFORMANCEANDRELIABILITY ,parametric yield ,multiple patterning ,SRAM ,Cmos scaling ,5nm ,Statistical simulation ,Hardware_INTEGRATEDCIRCUITS ,DTCO ,Electronic engineering ,Multiple patterning ,statistical simulation ,Static random-access memory ,business ,vertical GAA nanowire FETs - Abstract
This paper presents a vertical gate-all-around nanowire FET (VFET) architecture targeting 5nm and beyond technologies, and a new standard-cell construct for digital flow implementation. VFET technology circuits and parasitics for processes and design features aligned with 5nm CMOS are systematically assessed for the first time. Self-aligned quadruple pattering (SAQP) is implemented to achieve required 12nm half-pitch interconnects, and the worst case RC delay corner is 1.4X slower than best case corner. Our work shows that interconnect delay variability of a wire of average length in SoCs can overwhelm device variability. Consequently, a new device architecture with a smaller footprint as VFET would effectively lower the BEOL variability by shortening the wirelength and help SRAM bit cells to follow 50% area scaling trend. It is shown that a VFET-based D Flip-Flop (DFF) and 6T-SRAM cell can offer 30% smaller layout area than FinFET (or equivalent lateral 2D) based designs. Furthermore, we obtain a 19% reduction in routing area of a 32-bit multiplier implemented with a VFET-based standard-cell library w.r.t. the FinFET design.
- Published
- 2014
- Full Text
- View/download PDF
20. Simulation, fabrication et caractérisation électrique de transistors MOS avancés pour une intégration 3D monolithique
- Author
-
BOSCH, Daphnée, Francis Balestra, Claire Fenouillet-Béranger, François Andrieu, Anne Kaminski-Cachopo [Président], Cristell Maneux [Rapporteur], Guilhem Larrieu [Rapporteur], and Pierre-Emmanuel Gaillardon
- Subjects
Fabrication ,Intégration 3D séquentielle ,Dtco ,Junctionless ,In-Memory Computing
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.