41 results on '"F. Fillot"'
Search Results
2. Multilayer Deposition in Phase-Change Memory for Best Endurance Performance and Reduced Bit Error Rate
- Author
-
G. Navarro, C. Sabbione, V. Meli, L. E. Nistor, M. Frei, J. Garrione, M. Tessaire, F. Fillot, N. Bernier, E. Nolot, B. Sklenard, J. Li, S. Martin, N. Castellani, G. Bourgeois, M. C. Cyrille, and F. Andrieu
- Published
- 2022
- Full Text
- View/download PDF
3. Inside the ovonic threshold switching (OTS) device based on GeSbSeN: Structural analysis under electrical and thermal stress
- Author
-
C. Laguna, M. Bernard, J. Garrione, F. Fillot, F. Aussenac, D. Rouchon, G. Lima, L. Militaru, A. Souifi, and G. Navarro
- Subjects
General Physics and Astronomy - Abstract
In this article, we present the structural investigation by Raman spectroscopy of GeSbSeN ovonic threshold switching (OTS) material once integrated in selector devices featuring a top electrode based on a transparent and conductive indium tin oxide layer. The devices are characterized by standard electrical protocols, and the structural evolution of the material is investigated after several switching operations. The results are correlated with the spectra obtained from blanket samples annealed at increasing temperature and are supported by XRD and TEM analyses. We establish a link between the evolution of the material structure with the annealing process and the device behavior along cycling, bringing important advancement in the understanding of the switching mechanism and of the origin of the failure in OTS devices.
- Published
- 2023
- Full Text
- View/download PDF
4. Transient thermal conductivity in PECVD SiNx at high temperature: The thermal signature of an on-going irreversible modification
- Author
-
M. Hadi, S. Pailhès, R. Debord, A. Benamrouche, E. Drouard, T. Gehin, C. Botella, J.-L. Leclercq, P. Noe, F. Fillot, and V.M. Giordano
- Subjects
General Materials Science - Published
- 2022
- Full Text
- View/download PDF
5. Temperature driven structural evolution of Ge-rich GeSbTe alloys and role of N-doping
- Author
-
L. Prazakova, Denis Rouchon, A.M. Papon, Névine Rochat, D. Morel, Gabriele Navarro, F. Fillot, Nicolas Bernier, Emmanuel Nolot, Mathieu Bernard, Eugénie Martinez, C. Sabbione, Adeline Grenier, Marie-Claire Cyrille, CEA-LETI - Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information, ANR-17-RTBB-0002,RTB 2017 (CEA),Convention RTB 2017(2017), European Project: 783176,WAKeMeUp, CEA, Contributeur MAP, Département Plate-Forme Technologique (DPFT), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and Université Grenoble Alpes (UGA)
- Subjects
Materials science ,Phase-change material ,crystallization ,Annealing (metallurgy) ,Alloy ,General Physics and Astronomy ,02 engineering and technology ,GeSbTe ,engineering.material ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,law ,Phase (matter) ,0103 physical sciences ,Ge-rich GeSbTe alloys ,structure ,Crystallization ,N-doping ,[PHYS]Physics [physics] ,010302 applied physics ,Doping ,021001 nanoscience & nanotechnology ,Structural evolution ,[PHYS.COND.CM-MS] Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,chemistry ,Chemical physics ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,engineering ,0210 nano-technology - Abstract
International audience; Ge-rich GeSbTe alloys allowed overcoming temperature limitations of phase-change memory technology. In this paper, we present a thorough investigation of the structural evolution and the crystallization process of these alloys as a function of increasing temperature in annealing. We highlight the progressive rearrangement of the structure toward the demixing of Ge and GeSbTe phases. In particular, we show the stability of Sb–Te units and the development of Ge–Te bonds around these features. We observe the formation of a transient GeSbTe phase, which is driven by crystallization phenomena, leading to a gradual diffusion and expulsion of Ge. Therefore, the system moves toward the complete separation of Ge and Ge$_2$Sb$_2$Te$_5$ stable phases. Furthermore, we investigate the effect of N-doping in Ge-rich GeSbTe, which induces the formation of Ge–N bonds. Such features are demonstrated to be responsible for a delayed structural reorganization to higher temperatures, thus affecting the entire process of crystallization and phase separation in the alloy.
- Published
- 2020
- Full Text
- View/download PDF
6. Crystallization of Ge2Sb2Te5 and nitrogren-doped Ge2Sb2Te5 phase-change-materials thin films studied by in situ combined X-ray scattering techniques
- Author
-
F. Fillot, F. Pierre, Pierre Noé, Chiarra Sabbione, and Françoise Hippert
- Subjects
010302 applied physics ,Materials science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,General Biochemistry, Genetics and Molecular Biology ,Amorphous solid ,law.invention ,X-ray reflectivity ,Stress (mechanics) ,Grain growth ,law ,0103 physical sciences ,Stress relaxation ,Composite material ,Crystallization ,Thin film ,0210 nano-technology ,X-ray scattering techniques - Abstract
The phase change from the amorphous to crystalline state which occurs upon thermal annealing in prototypical Ge2Sb2Te5 and nitrogen-doped Ge2Sb2Te5 phase-change-materials (PCM) thin films is studied by concomitant, complementary and combined in situ and ex situ X-ray diffraction (XRD) and X-ray reflectivity (XRR) techniques. It is demonstrated that combined in situ X-ray scattering techniques allow accurate investigation and clarification of the structural, morphological and mechanical variations occurring in the films upon crystallization. The crystallization process is correlated with volume shrinkage (densification and thickness reduction) and with structural change with a tensile strain build-up. The comparison of Ge2Sb2Te5 and nitrogen-doped Ge2Sb2Te5 reveals a significant slowdown of the crystallization process, induced by the incorporation of nitrogen. However, the mechanisms involved in the phase change are not strongly modified by the incorporation; rather, the crystallization process is inhibited because of the presence of nitrogen. In this way, different stages of the crystallization process can be observed. The combined XRD/XRR analysis gives new insights on the stress components built up in phase-change materials. First, at the early stage of crystallization, a large hydrostatic tensile stress builds up in the PCM thin film. Afterwards, concomitant grain growth, viscous flow, densification and thickness accommodation are observed, which lead to a partial stress relaxation in the PCM films. This combined characterization technique offers a new approach that may further our understanding of the phase change involved.
- Published
- 2018
- Full Text
- View/download PDF
7. Highly Sb-Rich Ge-Sb-Te Engineering in 4Kb Phase-Change Memory for High Speed and High Material Stability Under Cycling
- Author
-
C. Socquet-Clerc, J. Sandrini, Michel Frei, Gabriele Navarro, Nicolas Bernier, Emmanuel Nolot, Lavinia Nistor, T. Magis, J. Garrione, Marie-Claire Cyrille, O. Cueto, Mathieu Bernard, Etienne Nowak, F. Fillot, F. Laulagnet, Guillaume Bourgeois, Mahendra Pakala, N. Castellani, and C. Sabbione
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,business.industry ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Phase-change memory ,chemistry ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,Cycling ,business - Abstract
In this paper we present the engineering of highly Sb-rich Ge-Sb-Te phase-change materials integrated in state-of-the-art Phase-Change Memory devices in 4Kb arrays. Thanks to an innovative composition called “delta” or $\delta$ -GST, high speed performance and high material stability under cycling is achieved in arrays and demonstrated by both physicochemical analysis and electrical characterization. Finally, the origin of the outstanding high speed in our innovative compound is revealed.
- Published
- 2019
- Full Text
- View/download PDF
8. Impact of interfaces on scenario of crystallization of phase change materials
- Author
-
Nicolas Bernier, Pierre Noé, Françoise Hippert, F. Fillot, C. Sabbione, and N. Castellani
- Subjects
010302 applied physics ,Materials science ,Polymers and Plastics ,Chalcogenide ,Metals and Alloys ,Nucleation ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,law.invention ,Amorphous solid ,chemistry.chemical_compound ,chemistry ,law ,Chemical physics ,Phase (matter) ,0103 physical sciences ,Scanning transmission electron microscopy ,Ceramics and Composites ,Deposition (phase transition) ,Thin film ,Crystallization ,0210 nano-technology - Abstract
Chalcogenide phase change materials (PCMs), such as Ge-Sb-Te alloys, have outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, more recently, in Phase Change Random Access Memories. The latter are the most promising candidate to replace the current FLASH memories. The main feature of PCMs are fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties. Controlling their crystallization, however, is a challenge. In the present work, a new picture of the prototypical GeTe and Ge2Sb2Te5 thin film crystallization is provided from optical reflectivity, resistivity and Scanning Transmission Electron Microscopy studies of 100 nm thick films. The crystallization temperature Tx significantly varies as a function of surface oxidation. Whereas Ge2Sb2Te5 has been, and is still, the subject of many publications, the present results reveal that its crystallization mechanism was not fully understood. Heterogeneous crystallization of Ge2Sb2Te5 at the upper film surface, reported so far, results from a surface alteration. Adequately protected Ge2Sb2Te5 and GeTe crystallize through nucleation inside the amorphous phase. Preventing surface alteration due to oxidation as demonstrated in the present study, through suitable capping layer deposition methods, yields a spectacular increase in Tx above the values that have been reported in the literature. The present study demonstrates that interface engineering allows one to select the crystallization mechanism, and hence control the stability of the amorphous phase in PCMs.
- Published
- 2016
- Full Text
- View/download PDF
9. Nanoscale mechanics of thermally crystallized GST thin film by in situ x-ray diffraction
- Author
-
C. Sabbione and F. Fillot
- Subjects
010302 applied physics ,Materials science ,Nucleation ,Analytical chemistry ,General Physics and Astronomy ,Young's modulus ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Amorphous solid ,law.invention ,Stress (mechanics) ,symbols.namesake ,law ,0103 physical sciences ,X-ray crystallography ,symbols ,Crystallite ,Thin film ,Crystallization ,0210 nano-technology - Abstract
The thermal crystallization of Ge2Sb2Te5 (GST) thin film is investigated by in situ x-ray diffraction (XRD). The combination of several x-ray diffraction techniques (a) in-plane XRD, (b) out of plane XRD, (c) high resolution XRD on the substrate, and (d) 2D high energy XRD allows the characterization of the mechanical behavior of GST upon thermal crystallization. A new method is proposed for the evaluation of experimental stress vs strain dependence in thermally crystallized GST. Nanoscale strain, macroscale stress, and nucleation/crystallization are fully described by the correlation of these techniques. Upon crystallization, a progressive tensile stress build-up is observed. Concomitant stress build-up is also observed both in the in-plane and out of plane directions of the film. The 2D high energy XRD demonstrates a homogeneous nucleation process and a progressive crystallization of the GST composed partially of amorphous and crystalline parts in the film. The GST nanomechanics is then characterized at the nanoscale (crystallites scale) and at the macroscale (film scale). By plotting the stress vs strain and assuming a Poisson ratio of 0.28, the mixture of phase results in a Young modulus between 9 GPa and 37 GPa for amorphous and crystalline matrices, respectively. Intermediate states with a partial amorphous/crystalline ratio results in intermediate values of the Young modulus. Finally, cross correlation between all XRD techniques gives EGST ≈ 34 GPa and υGST ≈ 0.34 for fcc crystalline GST.
- Published
- 2020
- Full Text
- View/download PDF
10. Ge-Rich GST Phase-Change Alloys: Thorough Investigation of Structural Evolution in Temperature
- Author
-
F. Fillot, Mathieu Bernard, Lucie Prazakova, Gabriele Navarro, Nicolas Bernier, Damien Morel, Névine Rochat, Denis Rouchon, Eugénie Martinez, Emmanuel Nolot, C. Sabbione, and Marie-Claire Cyrille
- Subjects
Phase change ,Materials science ,Thermodynamics ,Structural evolution - Abstract
Phase-Change Memory (PCM) is considered the most mature among the emerging Non-Volatile Memory technologies. Indeed, PCM features fast programming speed, excellent scalability down to nm scale and high endurance. It relies on the reversible and fast transition between a highly resistive amorphous phase and a low resistive crystalline phase of a chalcogenide phase-change alloy. PCM’s high maturity is demonstrated by its commercialization in Storage Class Memory (SCM) market [1] and by the recent demonstration of its manufacturability and reliability in 28 nm technology node for Automotive applications [2]. Moreover, PCM is considered the best-suited device for emerging neuromorphic systems and in-memory computing applications [3]. For several years, PCM has been considered not suitable to target stability at high temperature: indeed, devices based on standard material Ge2Sb2Te5 (GST225) trigger crystallization at 150°C, limiting the target applications portfolio. With the development of Ge-rich GeSbTe (Ge-rich GST) materials [4], improved PCM stability was demonstrated, fulfilling the strict requirements in terms of data retention of embedded applications, rising the questions on the origin of such improvements. Recent works on Ge-rich GST showed the effect of Ge enrichment and N doping in such alloys, in particular highlighting the increased crystallization temperature and the phase segregation at high temperature [5, 6]. However, the fine engineering of Ge-rich alloys requires a deep investigation of their structure and the understanding of its evolution in temperature. In this work, we present a thorough investigation of GeSbTe system, comparing the alloys with and without Ge enrichment, and with and without N doping. Structural analysis is performed by a wide set of complementary techniques: Raman spectroscopy, X-Ray Diffraction (XRD) and FTIR spectroscopy, TEM/EDX analyses and electrical resistivity measurements. All the analyses were performed on as-deposited amorphous samples and on samples annealed at several temperatures ranging up to more than 400°C. Comparing the Raman spectra of Ge-rich alloys with the spectra of GST225, we highlight the importance of Sb-Te structural units and their stability at all the steps of the evolution of the layer structure in temperature. The rearrangement of Ge-Te bonds, with the following crystallization of GeSbTe phase, is accompanied by the evolution of amorphous Ge phase in the layer towards the crystalline one (e.g. Fig. 1), demonstrated also in XRD spectra and TEM images. Ge single-element layer analyses allow us to evidence the important impact of the presence of Sb-Te bonds on Ge crystallization. Correlation of the Ge-N modes in N-doped Ge and Ge-rich GST samples by FTIR spectroscopy shows the effect of N on the formation of a GeN phase in GeSbTe alloy and its evolution in temperature (e.g. Fig. 2). N doping retards the rearrangement of Ge-Te bonds and the consecutive crystallization of Ge, which is delayed at higher temperature with regard to undoped sample. The sequence of phases that appear in the system with the increasing temperature is demonstrated by XRD spectra, performed on both doped and undoped layers. In summary, in this work we present a thorough investigation of the structural evolution of Ge-rich GST system in temperature thanks to the correlation of spectra and data coming from a wide set of techniques. These results help in understanding the mechanisms behind the crystallization and layer segregation, and in designing next-generation of PCM for automotive applications. [1] Huai-Yu Cheng et al., 2019 J. Phys. D: Appl. Phys. 52 473002. [2] Paolo Cappelletti et al., 2020 J. Phys. D: Appl. Phys. 53 193002. [3] T. Kim et al., IEEE TED, vol. 67, no. 4, pp. 1394-1406. [4] P. Zuliani et al., 2019 IEEE 11th International Memory Workshop, pp. 1-4. [5] V. Sousa et al., 2015 VLSI Technology, pp. T98-T99. [6] M. Agati et al., J. Mater. Chem. C, 2019, 7, 8720. Figure 1
- Published
- 2020
- Full Text
- View/download PDF
11. Phase-change materials for non-volatile memory devices: from technological challenges to materials science issues
- Author
-
F. Fillot, Françoise Hippert, Christophe Vallée, Jean-Yves Raty, Pierre Noé, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Laboratoire national des champs magnétiques intenses - Grenoble (LNCMI-G ), Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Laboratoire des matériaux et du génie physique (LMGP ), Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Université de Liège, P N acknowledges the PCRAM team in LETI. This work has been supported in part by ST-LETI bilateral program A, European 621217-PANACHE project, and LabEx Minos ANR-10-LABX-55-01. P N and J-Y R acknowledge support from the ANR SESAME contract (ANR-15-CE24-0021). J-Y R acknowledges computational resources provided by the CÉCI funded by the F R S-FNRS under Grant No. 2.5020.11 and the Tier-1 supercomputer of the Fédération Wallonie-Bruxelles, infrastructure funded by the Walloon Region under the grant agreement No. 1117545., ANR-15-CE24-0021,SESAME,Etude de l'influence de la taille et des contraintes sur la cristallisation des matériaux chalcogénures pour le développement de mémoires à changement de phase à haute densité(2015), European Project: 621217,EC:FP7:SP1-JTI,ENIAC-2013-2,PANACHE(2014), Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Laboratoire des technologies de la microélectronique [2016-2019] (LTM [2016-2019]), Laboratoire des matériaux et du génie physique [?-2019] (LMGP [?-2019]), and Institut polytechnique de Grenoble - Grenoble Institute of Technology [2007-2019] (Grenoble INP [2007-2019])-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)
- Subjects
010302 applied physics ,[PHYS]Physics [physics] ,Materials science ,Hardware_MEMORYSTRUCTURES ,Chalcogenide ,Nanotechnology ,02 engineering and technology ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Non-volatile memory ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Scalability ,Memory architecture ,Materials Chemistry ,State (computer science) ,Electrical and Electronic Engineering ,Data retention ,0210 nano-technology ,Random access - Abstract
Chalcogenide phase-change materials (PCMs), such as Ge-Sb-Te alloys, have shown outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, recently, in non-volatile resistive memories. The latter, known as PCM memories or phase-change random access memories (PCRAMs), are the most promising candidates among emerging non-volatile memory (NVM) technologies to replace the current FLASH memories at CMOS technology nodes under 28 nm. Chalcogenide PCMs exhibit fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties leading to a unique set of features for PCRAMs, such as fast programming, good cyclability, high scalability, multi-level storage capability, and good data retention. Nevertheless, PCM memory technology has to overcome several challenges to definitively invade the NVM market. In this review paper, we examine the main technological challenges that PCM memory technology must face and we illustrate how new memory architecture, innovative deposition methods, and PCM composition optimization can contribute to further improvements of this technology. In particular, we examine how to lower the programming currents and increase data retention. Scaling down PCM memories for large-scale integration means the incorporation of the PCM into more and more confined structures and raises materials science issues in order to understand interface and size effects on crystallization. Other materials science issues are related to the stability and ageing of the amorphous state of PCMs. The stability of the amorphous phase, which determines data retention in memory devices, can be increased by doping the PCM. Ageing of the amorphous phase leads to a large increase of the resistivity with time (resistance drift), which has up to now hindered the development of ultra-high multi-level storage devices. A review of the current understanding of all these issues is provided from a materials science point of view.
- Published
- 2018
- Full Text
- View/download PDF
12. N-Doping Impact in Optimized Ge-Rich Materials Based Phase-Change Memory
- Author
-
Veronique Sousa, Serge Blonkowski, Roberto Annunziata, A. Roule, Athanasios Kiouseloglou, Pierre Noé, M. Coue, Paola Zuliani, G. Navarro, Elisabetta Palumbo, Nicolas Bernier, C. Sabbione, F. Fillot, N. Castellani, J. Kluge, M. Borghi, O. Cueto, A. Persico, and L. Perniola
- Subjects
010302 applied physics ,Hardware_MEMORYSTRUCTURES ,Materials science ,business.industry ,Annealing (metallurgy) ,Doping ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Phase-change memory ,Embedded applications ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,Thermal stability ,Data retention ,0210 nano-technology ,business ,Voltage - Abstract
In this paper we investigate the impact of N- doping in optimized Ge-rich Ge2Sb2Te5 materials on device programming and storing performance. We integrate these alloys in state-of-the-art Phase- Change Memory (PCM) cells and we analyze the efficiency of the SET operation in N-doped and undoped memory cells, comparing voltage based programming with current based programming. This aspect is extensively investigated through electrical characterization, physico-chemical analysis and electro-thermal simulations. The thermal stability of these devices is finally evaluated and high temperature data retention is granted enabling PCM for embedded applications.
- Published
- 2016
- Full Text
- View/download PDF
13. GeTe phase change material and Ti based electrode: Study of thermal stability and adhesion
- Author
-
F. Fillot, D. Muyard, M. Veillerot, A. Roule, Sylvain Maitrejean, Patrice Gergaud, S. Loubriat, Marc Verdier, Laurent Vandroux, Jean-Paul Barnes, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Science et Ingénierie des Matériaux et Procédés (SIMaP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Mauna Loa Observatory (MLO), ESRL Global Monitoring Laboratory [Boulder] (GML), NOAA Earth System Research Laboratory (ESRL), National Oceanic and Atmospheric Administration (NOAA)-National Oceanic and Atmospheric Administration (NOAA)-NOAA Earth System Research Laboratory (ESRL), National Oceanic and Atmospheric Administration (NOAA)-National Oceanic and Atmospheric Administration (NOAA), Université de Limoges (UNILIM), and Champion, Yannick
- Subjects
Annealing (metallurgy) ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,0103 physical sciences ,Thermal stability ,Electrical and Electronic Engineering ,Thin film ,Composite material ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,[CHIM.MATE] Chemical Sciences/Material chemistry ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Phase-change material ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Secondary ion mass spectrometry ,Phase-change memory ,chemistry ,Electrode ,0210 nano-technology ,Titanium - Abstract
Phase change memories use a specific phase change material (PCMat) as a resistor element for information storage. To obtain good reliability and performances of the device, interface between PCMat and electrodes needs to be optimized. In this work, we study the adhesion between the electrode material and GeTe PCMat by a mechanical characterization (four-point bending). Our results show that a thin titanium interfacial layer drastically enhances the adhesion energy. Using X-Ray Diffraction and Secondary Ion Mass Spectroscopy, strong chemical interactions between titanium and tellurium is evidenced. In particular, after a 400^oC anneal, Ti"3Te"4 formation is observed. This chemical affinity probably explains the improvement of adhesion between the electrode and GeTe.
- Published
- 2011
- Full Text
- View/download PDF
14. Embedding of reduced pressure-chemical vapor deposition grown Ge nanocrystals in a high quality SiO2 matrix for non-volatile memory applications
- Author
-
A. Fanton, K. Yckache, F. Fillot, F. Aussenac, and L. Masarotto
- Subjects
Silicon ,Chemistry ,Scanning electron microscope ,Metals and Alloys ,chemistry.chemical_element ,Germanium ,Surfaces and Interfaces ,Chemical vapor deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Crystallography ,Chemical engineering ,X-ray photoelectron spectroscopy ,Scanning transmission electron microscopy ,Materials Chemistry ,Crystallite ,Thin film - Abstract
We have obtained thanks to reduced pressure-chemical vapor deposition germanium nanocrystals in a high quality SiO 2 matrix. A perfect control of (i) the tunnel and control oxide layer thicknesses and (ii) the germanium nanocrystals' density and diameter has been achieved. Scanning electron microscopy was used to (i) determine the nucleation and growth rate of the germanium nanocrystals and (ii) evaluate their morphological stability during their embedding. We have also studied the influence of thin selectively grown Si films in order to passivate the surface of the germanium nanocrystals. X-ray photoelectron spectroscopy has shown that the germanium nanocrystals' surface properties are better with a Si capping. The polycrystalline state of the nanocrystals has been evaluated with X-ray diffraction. Transmission electron microscopy image reveals the lack of germanium diffusion and precipitation in the SiO 2 matrix.
- Published
- 2010
- Full Text
- View/download PDF
15. Growth of RuO2 thin films by liquid injection atomic layer deposition
- Author
-
Alica Rosová, Kristína Hušeková, A. Šatka, F. Fillot, Karol Fröhlich, J. Šoltýs, and Edmund Dobročka
- Subjects
Chemistry ,Metals and Alloys ,Analytical chemistry ,Surfaces and Interfaces ,Chemical vapor deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Secondary ion mass spectrometry ,Surface coating ,Atomic layer deposition ,Carbon film ,Materials Chemistry ,Deposition (phase transition) ,Metalorganic vapour phase epitaxy ,Thin film - Abstract
We have grown RuO2 films by pulsed liquid injection atomic layer deposition using (Ru(thd)2(cod)) dissolved in pyridine. The deposition process took place at 290 °C and consisted of four steps. The films exhibited smooth surface. Analysis of the films using secondary ion mass spectroscopy (SIMS) revealed low content of carbon in the films. Resistivity of the RuO2 film at room temperature was about 160 µΩ cm.
- Published
- 2010
- Full Text
- View/download PDF
16. Electrical and Chemical Properties of the HfO2/SiO2/Si Stack: Impact of HfO2 Thickness and Thermal Budget
- Author
-
Mathieu Charbonnier, N. Benedetto, F. Fillot, C. Guedj, C. Gaumer, Eugénie Martinez, Sandrine Lhostis, Christophe Licitra, and Charles Leroux
- Subjects
Dipole ,Materials science ,Stack (abstract data type) ,X-ray photoelectron spectroscopy ,Ellipsometry ,Electron affinity ,Drop (liquid) ,Thermal ,Analytical chemistry ,Electrical measurements - Abstract
In this paper, we investigate the impact of thermal budget and HfO 2 thickness on the chemical and electronic properties of the HfO 2 /SiO 2 /S' stack. High temperature anneal at 750°C induces both the regrowth and reoxidation of the SiO 2 interfacial layer. A bias drop of 1.1 eV is observed along the whole stack via the C Is core-level shift and is ascribed both to the HfO 2 /SiO 2 interfacial dipole and to fixed charges in HfO 2 . Electrical measurements suggest a dipole strength of 0.2 eV. Ellipsometry and UV photoelectron spectroscopy are combined to deduce the HfO 2 electron affinity (1.8 ± 0.2 eV). This value does not change with increasing thermal budget or dielectric thickness. The HfO 2 /Si barrier height is estimated to be 2.1 ± 0.2 eV in agreement with previous internal photoemission results.
- Published
- 2008
- Full Text
- View/download PDF
17. Impact of the H2 bake temperature on the structural properties of tensily strained Si layers on SiGe
- Author
-
Y. Bogumilowciz, Alexandra Abbadie, T. Billon, J.M. Hartmann, and F. Fillot
- Subjects
Linear density ,Materials science ,Wet cleaning ,Surface finish ,Condensed Matter Physics ,Light scattering ,Inorganic Chemistry ,Root mean square ,Secondary ion mass spectrometry ,Crystallography ,Materials Chemistry ,Surface roughness ,Dislocation ,Composite material - Abstract
We have studied the impact of various H 2 bakes (in-between 750 and 850 °C, this for durations in-between 15 and 120 s) on the structural properties of 16 nm thick sSi layers grown on top of polished Si 0.7 Ge 0.3 and Si 0.6 Ge 0.4 virtual substrates (VSs) after an “HF-last” wet cleaning. Those stacks have been characterized afterwards thanks to tapping mode—atomic force microscopy (AFM), surface light scattering (haze measurements), secondary ions mass spectrometry and Secco defect revelation. A definite oxygen contamination peak at the sSi/SiGe interface was associated to 750 °C, 120 s H 2 bakes. The resulting sSi layers were heavily defected and rough. By contrast, temperatures superior or equal to 800 °C yielded contamination-free interfaces. No clear impact of the H 2 bake temperature (⩾800 °C) and/or duration on the threading dislocation density and the “line” defect linear density was evidenced. Higher temperatures and longer bakes yielded rougher surfaces, however. The best compromise seemed to be {850 °C, 15 s} H 2 bakes. For such bakes, the surface root mean square surface roughness was in-between 0.1 and 0.2 nm only (10 μm×10 μm AFM images).
- Published
- 2008
- Full Text
- View/download PDF
18. Growth kinetics and boron doping of very high Ge content SiGe for source/drain engineering
- Author
-
F. Fillot, T. Billon, F. Gonzatti, and J.M. Hartmann
- Subjects
Materials science ,Doping ,Inorganic chemistry ,Analytical chemistry ,chemistry.chemical_element ,Semiconductor device ,Condensed Matter Physics ,Ion ,Inorganic Chemistry ,chemistry.chemical_compound ,chemistry ,Electrical resistivity and conductivity ,Materials Chemistry ,Wafer ,Boron ,Phosphine ,Diborane - Abstract
We have studied the in-situ boron doping of high Ge content Si 1− x Ge x layers ( x =0.3, 0.4 and 0.5). These layers have been grown at low pressure (20 Torr) and low temperature (600–650 °C) with a heavily chlorinated chemistry on blanket Si(0 0 1) substrates. Such a chemistry yields a full selectivity versus SiO 2 (isolation) and Si 3 N 4 (sidewall spacers) on patterned wafers with gate stacks. We have quantified the impact of the diborane flow on the SiGe layer crystalline quality, its resistivity, the SiGe:B growth rate and the apparent Ge concentration. Resistivity values lower than 1 mΩ cm are easily achieved, all the more so for high Ge content layers. The SiGe growth rate increases and the apparent Ge concentration (from X-ray diffraction) decreases as the diborane flow increases. B atoms (much smaller than Si or Ge) indeed partially compensate the compressive strain in the SiGe:B layers. We have also probed the in-situ boron and phosphorus doping of Si at 750 °C, 20 Torr with a heavily chlorinated chemistry. The B ions concentration increases linearly with the diborane flow, then saturates at a value close to 4×10 19 cm −3 . By contrast, the P ions concentration increases sub-linearly with the phosphine flow, with a maximum value close to 9×10 18 cm −3 . Adding diborane (phosphine) to the gaseous mixture leads to a sharp increase (decrease) of the Si:B (the Si:P) growth rates, which has to be taken into account in device layers. All the know-how acquired will be most handy for the formation of in-situ doped recessed or raised sources and drains in metal-oxide semiconductor devices.
- Published
- 2008
- Full Text
- View/download PDF
19. Surface diffusion of copper on tantalum substrates by Ostwald ripening
- Author
-
Gerald Beyer, Zs. Tőkei, and F. Fillot
- Subjects
Surface diffusion ,Ostwald ripening ,Diffusion ,Tantalum ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Activation energy ,Condensed Matter Physics ,Copper ,Arrhenius plot ,Surfaces, Coatings and Films ,symbols.namesake ,Crystallography ,chemistry ,Materials Chemistry ,symbols ,Dewetting - Abstract
In this work, we report on the determination of surface diffusion coefficient of copper on tantalum substrates by Ostwald ripening. It is shown that impurities, such as oxygen, strongly influence the kinetics of dewetting of copper films on tantalum substrates. Two technologically important interfaces with copper were investigated: Cu/β-Ta and Cu/α-Ta. For copper surface diffusion on β-Ta surface, a surface diffusion coefficient of D S betaTa = 2.6 1.0 3.7 · 10 - 11 ( cm 2 / s ) was measured at 550 °C. The temperature dependence of surface diffusion was investigated between 400 °C and 550 °C. Using an Arrhenius relationship, an activation energy of 0.83 ± 0.1 eV and a pre-exponential factor of D S 0 betaTa = 2.8 0.2 7.5 · 10 - 6 ( cm 2 / s ) were calculated. For copper surface diffusion on α-Ta surface, a diffusion coefficient of D S alphaTa = 2.0 1.5 3.1 · 10 - 12 ( cm 2 / s ) was measured at 550 °C. We discuss the diffusion mechanism involved during the cluster growth and the origin of the faster surface diffusion of copper on the β-Ta substrate as compared to the α-Ta phase.
- Published
- 2007
- Full Text
- View/download PDF
20. Investigations of titanium nitride as metal gate material, elaborated by metal organic atomic layer deposition using TDMAT and NH3
- Author
-
Bernard Chenevier, I. Matko, S. Minoret, F. Fillot, Thierry Billon, Bernard Guillaumot, T. Morel, and Sylvain Maitrejean
- Subjects
Materials science ,chemistry.chemical_element ,Condensed Matter Physics ,Titanium nitride ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Chemical engineering ,Thermal stability ,Work function ,Electrical and Electronic Engineering ,Tin ,Silicon oxide ,Metal gate ,High-κ dielectric - Abstract
This study reports for the first time, the evaluation of the work function and thermal stability of TiN gate material for deep sub-micron CMOS, elaborated by using metal organic atomic layer deposition, from TDMAT and NH"3 precursors. Composition, microstructure and electrical properties of atomic layer deposited TiN films are characterized by using combined analytical techniques. The TiN films exhibit suitable properties for nMOSFET requirement with an effective work function of 4.2eV obtained on silicon oxide and a good stability up to 1050^oC. The effective work function measured on high-k dielectric (HfO"2) is found to be 4.3eV and the stability upon high temperature annealing is less favorable.
- Published
- 2005
- Full Text
- View/download PDF
21. Influence of TiN metal gate on Si/SiO2 surface roughness in N and PMOSFETs
- Author
-
Fabien Boulanger, M. Mouis, G. Reimbold, L. Thevenod, Mikael Casse, Bernard Guillaumot, and F. Fillot
- Subjects
Electron mobility ,Materials science ,Scattering ,business.industry ,chemistry.chemical_element ,Surface finish ,Chemical vapor deposition ,Condensed Matter Physics ,Titanium nitride ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Surface roughness ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business ,Metal gate - Abstract
In this article, we report the influence of TiN gate on electron and hole channel mobility at low temperatures down to 13K. TiN gate is found to modify the Si/SiO2 surface roughness limited mobility term as compared to Poly-Si gate, leading to a modification of the high field effective mobility behaviour. Interface roughness is shown to be process dependent (CVD vs. MOCVD). An analytical model of surface roughness scattering is used to link our electrical results to morphological roughness parameters.
- Published
- 2005
- Full Text
- View/download PDF
22. Investigations of the interface stability in HfO2–metal electrodes
- Author
-
Bernard Chenevier, Marc Audier, Jean-Pierre Senateur, Gérard Passemard, Sylvain Maitrejean, Bernard Guillaumot, H. Monchoix, Thierry Mourier, F. Fillot, A. Pisch, Patrick Chaudouët, and B. Bochu
- Subjects
Annealing (metallurgy) ,Niobium ,Analytical chemistry ,chemistry.chemical_element ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Aluminium ,Transmission electron microscopy ,Thermal stability ,Electrical and Electronic Engineering ,Thin film ,Reflectometry ,Titanium - Abstract
As the sharpness of the metal-oxide interface is of major importance to develop efficient structures, we have studied the thermal stability of interfaces in a series of thin film samples where the metallic component was either Pt, Al, Pd, Ni, Nb or Ti deposited on a thin HfO2 film. Thermodynamic considerations have been carried out to determine the possible products of metal-oxide reaction at a temperature of 500 °C. The evolution of the as-deposited thin film structures as a function of annealing temperature has been analysed by combining various techniques and specifically using X-ray reflectometry. This particular technique allows one to detect very thin embedded interfaces, distinguish small density variations and determine accurately the thickness, the normal roughness and the density of each layer. In addition, reflectometry results have been correlated with atomic force microscopy and transmission electron microscopy observations of selected samples. Our results indicate that platinum, palladium and nickel are stable up to 500 °C on hafnium oxide. Annealed aluminium, an interfacial layer forms, whereas niobium and titanium present a diffuse interface.
- Published
- 2003
- Full Text
- View/download PDF
23. Direct wafer bonding of amorphous or densified atomic layer deposited alumina thin films
- Author
-
E. Beche, V. Larrey, François Rieutord, F. Fillot, Frank Fournel, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Nanostructures et Rayonnement Synchrotron (NRS ), Modélisation et Exploration des Matériaux (MEM), Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), and Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG)
- Subjects
Silicon ,Materials science ,Wafer bonding ,Silicon oxides ,Thin films ,Alumina ,chemistry.chemical_element ,Dielectric ,Direct bonding ,Thermal behaviours ,Silicon wafers ,Atomic layer deposition ,Atomic layer deposited ,Debonding ,Acoustic microscopes ,Electrical and Electronic Engineering ,Thin film ,Composite material ,Infrared spectroscopy ,[PHYS]Physics [physics] ,Bonding ,Chemical bonds ,Direct wafer bonding ,Defect density ,Condensed Matter Physics ,Annealing temperatures ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Alumina thin films ,chemistry ,Hafnium oxides ,Hardware and Architecture ,Anodic bonding ,Scanning acoustic microscopes ,Defects ,Amorphous films ,Complementary analysis ,High defect densities ,Aluminum ,Chemical modification - Abstract
International audience; SOI circuit exhibits excellent performance and rehabilitee but with the component miniaturization trend and the clock frequency increase, the self-heating phenomena that arise from the SOI structure itself must not be underestimated. In order to minimize this problem, several candidates have been identified to replace the buried silicon oxide (SiO2) by high thermal conductive dielectric layers such as HfO2, Si3N4, diamond or Al2O3. In order to elaborate a SOI structure using this kind of innovative buried dielectric, first of all, their direct bonding with silicon has to be studied. In this work, we investigate the bonding thermal behaviour of Si/Al2O3 and Al2O3/Al2O3 direct bonded structures: bondings are submitted to room temperature up to 900 A degrees C annealing. Amorphous or crystallized Al2O3 thin films were used in this study. Bonding energies are measured in an anhydrous atmosphere and bonding defectivity is analysed using scanning acoustic microscope (SAM). With amorphous a-Al2O3 layer, for T > 200 A degrees C, high bonding energy are obtained even if high defect density appeared when annealing temperature exceeded 400-500 A degrees C. Spontaneous debonding phenomena even occurred for a-Al2O3/a-Al2O3 direct bonding. This defectivity, unobservable using infrared camera, may be explained by chemical or structural Al2O3 modification such as gases desorption, internal stress or crystallisation state. Bonding with crystallized Al2O3 film has been also characterized by infrared spectroscopy and complementary analysis. No high defect density is observed with crystallized Al2O3 layer. Based on these results, an Al2O3 bonding mechanism is proposed.
- Published
- 2015
- Full Text
- View/download PDF
24. Evidence of Heterogeneous Strain during Crystallization of Ge2Sb2Te5 Thin Film
- Author
-
S. Maîtrejean, S. Loubriat, Patrice Gergaud, and F. Fillot
- Subjects
Materials science ,law ,General Chemical Engineering ,Electrochemistry ,General Materials Science ,Rotational deformation ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Crystallization ,Composite material ,Thin film ,law.invention - Abstract
In situ and ex situ X-ray diffraction are used to evidence the heterogeneous strain generation during the thermal crystallization of capped Ge2Sb2Te5 thin film. Upon crystallization, a considerable tensile stress builup linked to densification is observed and the strain (macroscopic and microscopic) increases as the crystallization advances. Two populations of oriented grains are distinguished. A depth analysis shows that the two populations of grains are a differently distributed in the depth of the film. Strain and structural gradient (or heterogeneity) is evidenced and shows that interfaces play a major role in the mechanical state of Ge2Sb2Te5 films.
- Published
- 2011
- Full Text
- View/download PDF
25. Quantification of SiGe layer composition using MCs+ and MCs2+ secondary ions in ToF-SIMS and magnetic SIMS
- Author
-
P. Holliger, Jean-Paul Barnes, D. Marseilhan, F. Fillot, and J.M. Hartmann
- Subjects
Silicon ,Analytical chemistry ,General Physics and Astronomy ,chemistry.chemical_element ,Germanium ,Surfaces and Interfaces ,General Chemistry ,Condensed Matter Physics ,Mass spectrometry ,Charged particle ,Semimetal ,Surfaces, Coatings and Films ,Ion ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Spectroscopy - Abstract
The interest in using semiconducting layers of SiGe in microelectronics means it is important to be able to characterise the composition of such layers as a function of depth. SIMS is an essential technique for characterising such layers but quantification of the Ge concentration in SIMS depth profiles of SiGe requires knowledge of the secondary ion generation efficiency as a function of Ge concentration. We have studied the secondary ion emission of MCs+ and MCs2+ ions for SiGe layers with Ge concentrations ranging from 0 to 85 at.% Ge. A CAMECA IMS-5F and an ION-TOF ToF-SIMS V both with a 2 keV Cs primary beam were used. We find a good linear correlation between the ratio of SIMS secondary ion intensities I(GeCsn+)/I(SiCsn+) (where n = 1 and 2) and the composition ratio [Ge]/[Si]. The same linear correlation is observed for results obtained using the ToF-SIMS, but the RSF deviates slightly from a linear relationship with the Ge concentration. If a sample with several known Ge concentrations is used as a standard to determine the factor of proportionality between the ratio of secondary ion intensities and the composition ratio then the Ge concentration in an unknown sample can be determined from the point by point GeCsn+/SiCsn+ ratio, where n = 1 and 2.
- Published
- 2008
- Full Text
- View/download PDF
26. Trade-off between SET and data retention performance thanks to innovative materials for phase-change memory
- Author
-
Paola Zuliani, Elisabetta Palumbo, M. Coue, Alessandro Cabrini, Gabriele Navarro, F. Fillot, Mathieu Bernard, Athanasios Kiouseloglou, L. Perniola, G. Reimbold, C. Sabbione, Pierre Noé, Vincent Delaye, M. Borghi, Guido Torelli, Roberto Annunziata, B. De Salvo, A. Persico, Veronique Sousa, A. Roule, D. Blachier, and Sylvain Maitrejean
- Subjects
Phase-change memory ,Reflow soldering ,Reliability (semiconductor) ,Computer science ,Memory cell ,Data integrity ,Electronic engineering ,State (computer science) ,Data retention ,Reset (computing) - Abstract
In this paper, we investigate the impact of Ge-enrichment coupled to N- or C-doping in Ge2Sb2Te5 based materials on low-resistance state (LRS or SET) performance combined with high-resistance state (HRS or RESET) high-temperature data retention (HTDR) in Phase-Change Memories (PCM). These innovative materials have been integrated in state-of-the-art memory cell prototypes. For the first time, a focus on the trade-off between SET stability (which is affected by resistance drift) and RESET HTDR is proposed. This aspect has been extensively characterized. Through physico-chemical analysis and electrical characterization we demonstrate the need for a specific "programming-current-vs-time-profile" to finally achieve an LRS stable at high-working temperature with programming times compatible with industrial applications. Finally, the reliability of the HRS and the LRS obtained with our optimized programming procedure has been demonstrated through Reflow Soldering Temperature Profile (RSTP) tests. The last result fully enables PCM for embedded applications, in which data integrity after the peak temperature of reflow soldering must be ensured.
- Published
- 2013
- Full Text
- View/download PDF
27. Thermal conductivity of carbon doped GeTe thin films in amorphous and crystalline state measured by modulated photo thermal radiometry
- Author
-
Andrzej Kusiak, Pierre Noé, F. Fillot, Veronique Sousa, and Jean-Luc Battaglia
- Subjects
010302 applied physics ,History ,Materials science ,Annealing (metallurgy) ,Doping ,Analytical chemistry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Computer Science Applications ,Education ,Amorphous solid ,law.invention ,Crystallography ,Thermal conductivity ,law ,0103 physical sciences ,Interfacial thermal resistance ,Crystallite ,Thin film ,Crystallization ,0210 nano-technology - Abstract
The thermal conductivity and thermal boundary resistance of GeTe and carbon doped GeTe thin films, designed for phase change memory (PCM) applications, were investigated by modulated photo thermal radiometry. It was found that C doping has no significant effect on the thermal conductivity of these chalcogenides in amorphous state. The thermal boundary resistance between the amorphous films and SiO2 substrate is also not affected by C doping. The films were then crystallized by an annealing at 450°C as confirmed by optical reflectivity analysis. The thermal conductivity of non-doped GeTe significantly increases after crystallization annealing. But, surprisingly the thermal conductivity of the crystallized C doped GeTe was found to be similar from that of the amorphous state and independent of C concentration. As for the amorphous phase, C doping does not affect the thermal boundary resistance between the crystalline GeTe films and SiO2 substrate. This behaviour is discussed thanks to XRD and FTIR analysis. In particular, XRD shows a decrease of crystalline grain size in crystalline films as C concentration is increased. FTIR analysis of the film before and after crystallization evidenced that this evolution could be attributed to the disappearing of Ge-C bonds and migration of C atoms out of the GeTe phase upon crystallization, limiting then the growth of GeTe crystallites in C-doped films.
- Published
- 2016
- Full Text
- View/download PDF
28. Crystallization of Ge2Sb2Te5 nanometric phase change material clusters made by gas-phase condensation
- Author
-
Françoise Hippert, S. Maîtrejean, G. E. Ghezzi, R. Morel, Nathalie Boudet, Ariel Brenac, Marc Audier, F. Fillot, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut Nanosciences et Cryogénie (INAC), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), CRG et Grands Instruments (CRG), Institut Néel (NEEL), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Centre National de la Recherche Scientifique (CNRS)-Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Centre National de la Recherche Scientifique (CNRS), Laboratoire des matériaux et du génie physique (LMGP ), Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), CRG & Grands instruments (NEEL - CRG), and Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Diffraction ,Materials science ,Physics and Astronomy (miscellaneous) ,Annealing (metallurgy) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Phase-change material ,Amorphous solid ,law.invention ,Condensed Matter::Materials Science ,Crystallography ,Sputtering ,law ,Chemical physics ,0103 physical sciences ,X-ray crystallography ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,Thin film ,Crystallization ,0210 nano-technology - Abstract
International audience; The crystallization behavior of Ge2Sb2Te5 nanometric clusters was studied using X-ray diffraction with in situannealing. Clusters were made using a sputtering gas-phase condensation source, which allowed for the growth of well-defined, contaminant-free, and isolated clusters. The average size for the clusters is 5.7 ± 1 nm. As-deposited amorphous clusters crystallize in the fcc cubic phase at 180 °C, while for thin films, the phase change temperature is 155 °C. This observation illustrates the scalability of the Ge2Sb2Te5phase change from the amorphous to the cubic state in three-dimensionally confined systems in this size range.
- Published
- 2012
- Full Text
- View/download PDF
29. Phase Change Memories challenges: A material and process perspective
- Author
-
R. Morel, L. Dussault, M. Armand, Françoise Hippert, J. F. Nodin, C. Jahan, Andrea Fantini, M. Audier, Pierre Noé, B. De Salvo, A. Brenac, C. Valle, O. Cueto, A. Persico, Gabriele Navarro, A. Roule, Jean-Yves Raty, F. Fillot, Sandrine Lhostis, L. Perniola, Sylvain Maitrejean, E. Gourvest, G. Betti Beneventi, Giada Ghezzi, Ph. Michallon, Veronique Sousa, and N. Pashkov
- Subjects
Phase-change memory ,Transformation (function) ,Materials science ,Process (engineering) ,Stability (learning theory) ,Electronic engineering ,Phase (waves) ,Reset (computing) ,Scaling ,Phase-change material - Abstract
Among all the new memories concepts, Phase Change Memories (PCM) is one of the most promising. However, various challenges remain. This paper reviews the materials and processes required to face these challenges. As an example, attention will be made on the effect of Phase change material composition on stability of the amorphous phase i.e. on the retention of the information. Additionally, it is showed how specific processes such as CVD or ALD can be developed in order to minimize the current required to amorphize the phase change material i.e. to reset the device. Finally, with the perspectives of the advanced integration nodes, experimental results on the effect of scaling on phase transformation are presented and discussed.
- Published
- 2012
- Full Text
- View/download PDF
30. Demonstration of Phase Change Memories devices using Ge2Sb2Te5 films deposited by Atomic Layer Deposition
- Author
-
Raija H. Matero, E. Gourvest, J.P. Barnes, Marc Veillerot, Sandrine Lhostis, Veronique Sousa, A. Toffoli, A. Persico, Fabien Boulanger, Suvi Haukka, B. De Salvo, C. Jahan, L. Perniola, Hessel Sprey, F. Pierre, Sylvain Maitrejean, C. Jayet, Tom E. Blomberg, T. Billon, and F. Fillot
- Subjects
Phase-change memory ,Phase change ,Work (thermodynamics) ,Atomic layer deposition ,Materials science ,business.industry ,Optoelectronics ,Deposition (phase transition) ,Wafer ,Nanotechnology ,business ,Phase-change material ,Resistive random-access memory - Abstract
Phase change memory technology is considered as one of the most promising resistive memory solution. One issue, however, is the high electrical current required to reset the information. Indeed large energies are mandatory for amorphization of the crystalline phase change material. It has been demonstrated that energies can be highly decreased by reduction of the active volume and confinement of the phase change material. To do so, phase change materials deposition route with high filling capacity is needed. Atomic Layer Deposition (ALD) is well known for its high conformity. However, such a process is still a challenge for phase change materials such as Ge x Sb y Te z (GST). In this work, (i) ALD GST films are processed and characterized and (ii) realisation of phase change memory devices using ALD GST is demonstrated on 200mm wafers.
- Published
- 2011
- Full Text
- View/download PDF
31. N-doped GeTe as performance booster for embedded Phase-Change Memories
- Author
-
A. Toffoli, J. Hazart, Roberto Annunziata, N. Pashkov, A. Persico, J. F. Nodin, Andrea Fantini, T. Billon, J.C. Bastien, Fabien Boulanger, Pascale Mazoyer, C. Jahan, B. De Salvo, Bérangère Hyot, Sylvain Maitrejean, G. Reimbold, H. Feldis, E. Gourvest, F. Pierre, Veronique Sousa, A. Roule, S. Braga, L. Perniola, D. Blachier, C. Vallée, D. Benshael, A. Bastard, F. Fillot, Clot, Marielle, Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics [Crolles] (ST-CROLLES), and Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,business.industry ,Doping ,Automotive industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,Crystallization temperature ,Phase-change memory ,Phase change ,Crystallography ,Booster (electric power) ,0103 physical sciences ,Scalability ,Data retention ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
The commercialization of Phase-Change Memories (PCM), based on the well-known GST compound, have been recently started, tailored for consumer applications. Despite other excellent performances (i.e. low-power, scalability,…), data retention is assured up to 85°C, still limited for the automotive market segment. Alternative active material able to comply with the stringent requirements of automotive applications should possibly exhibit higher crystallization temperature (T C ) as well as higher Activation Energy (E A ) with respect to GST. Recent literature shows that GeTe provides better retention [1–3], while several works put in evidence how data retention is enhanced by inclusions in pure host alloys [4–6].
- Published
- 2010
- Full Text
- View/download PDF
32. Study of crystalline structure N-doped GeSb Phase Change Material for PCRAM applications
- Author
-
Pierre-Eugène Coulon, Giada Ghezzi, Veronique Sousa, Luca Perniola, Sylvie Schamm-Chardon, Bérangère Hyot, Alain Fargeix, E. Gourvest, A. Bastard, Caroline Bonafos, Edrisse Arbaoui, Sandrine Lhostis, S. Loubriat, Andrea Fantini, F. Fillot, A. Roule, Sylvain Maitrejean, and M. Armand
- Subjects
Diffraction ,Materials science ,Doping ,chemistry.chemical_element ,Crystal growth ,Crystal structure ,Nitrogen ,Grain size ,law.invention ,Crystallography ,chemistry ,law ,Crystallization ,Scherrer equation - Abstract
100 nm-thick GeSbN films with high Sb content were investigated by XRD and TEM in order to investigate crystalline phases. We observe the crystallization of the two phases separatly. First, Sb rhomboedral crystallizes at 250°C and then cubic Ge appears at 340°C according to Reflectivity and X-Ray Diffraction measurements. With the incorporation of nitrogen in the thick films, a delay to crystallization of the two phases is observed. Grain size measurements with Scherrer formula support the decrease of grain crystallization with N content. Moreover, TEM observations show clearly the separation of the two phases in the layer and the reduction in size of the grains with nitrogen content. This allows a better re-amorphization than films without nitrogen.
- Published
- 2010
- Full Text
- View/download PDF
33. On The Use Of Synchrotron Radiation For The Characterization Of 'TiN∕Hfo[sub 2]' Gate Stacks
- Author
-
C. Gaumer, E. Martinez, S. Lhostis, F. Fillot, P. Gergaud, B. Detlefs, J. Roy, Y. Mi, J.-P. Barnes, J. Zegenhagen, A. Chabli, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,Synchrotron radiation ,Substrate (electronics) ,Dielectric ,Synchrotron ,law.invention ,Beamline ,X-ray photoelectron spectroscopy ,chemistry ,law ,Optoelectronics ,business ,Tin ,Metal gate - Abstract
The downscaling of Metal‐Oxide‐Semiconductor Field‐Effect Transistor (MOSFET) devices leads to the implementation of a high dielectric constant oxide and a metal gate to improve the electrical performances. A detailed analysis of the chemical and structural properties of the gate stack is necessary to optimize the integration scheme. Here, the use of a synchrotron source is illustrated for non destructive analysis of the gate stack. Grazing Incidence X‐Ray Diffraction (GIXRD) is performed to investigate the crystalline structure of the layers. HfO2 is found to be in the monoclinic phase with no change after metal gate deposition. TiN is crystallized in the cubic phase with no variation after Poly‐Si deposition and spike anneal. HArd X‐ray PhotoElectron Spectroscopy (HAXPES) appears to be mandatory for a non destructive analysis of the buried high‐k / substrate interface. Experiments are scheduled at the ID32 beamline of the European Synchrotron Radiation Facility (ESRF) to highlight nitrogen diffusion fro...
- Published
- 2009
- Full Text
- View/download PDF
34. Influence of TiN Metal Gate on Si/SiO2 Surface Roughness in N and PMOSFETs
- Author
-
Thévenod M. Cassé M. Mouis G. Reimbold F. Fillot B. Guillaumot F. Boulanger, L., Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Domenget, Chahla
- Subjects
[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience
- Published
- 2005
35. Influence of TiN metal gate on Si/SiO2 surface roughness in N and P MOSFETs
- Author
-
Thévenod M. Cassé M. Mouis G. Reimbold F. Fillot B. Guillaumot F. Boulanger, L., Domenget, Chahla, Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF)
- Subjects
[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience
- Published
- 2005
36. Mechanical stress measurements in damascene copper interconnects and influence on electromigration parameters
- Author
-
F. Fillot, G. Reimbold, O. Sicardy, Lucile Arnaud, and J. Torres
- Subjects
Materials science ,Copper interconnect ,chemistry.chemical_element ,Electromigration ,Copper ,Synchrotron ,law.invention ,chemistry ,law ,X-ray crystallography ,Ultimate tensile strength ,Electronic engineering ,Composite material ,Current density ,Hillock - Abstract
This paper presents mechanical stress measurements versus temperature in advanced damascene copper lines with various geometries using synchrotron high flux X-rays. Elasto-plastic behaviour versus temperature is evidenced as well as temperature transitions between tensile and compressive stresses. We discuss the theoretical influence of /spl sigma/(T) on electromigration (EM), lifetime (MTF), and current design rule for the two degradation modes of voids and hillocks. We show that EM extrapolations should be reconsidered. While MTF defined by open failure is weakly affected by mechanical stress conditions, hillock mode failure would lead to a reduction of maximum current density design rule J/sub max/. The prospective impact of low k materials relative to mechanical stress is then addressed.
- Published
- 2003
- Full Text
- View/download PDF
37. Study of metal gate work function modulation using plasma and SiH4 treated TiN thin films
- Author
-
Bernard Guillaumot, Thierry Farjot, Sylvain Maitrejean, Gérard Passemard, F. Fillot, and Bernard Chenevier
- Subjects
Materials science ,business.industry ,Oxide ,chemistry.chemical_element ,Titanium nitride ,Amorphous solid ,chemistry.chemical_compound ,chemistry ,Gate oxide ,Optoelectronics ,Work function ,Thin film ,Tin ,business ,Metal gate - Abstract
As gate oxide thickness decreases, the capacitance associated with the depleted layer in polysilicon gate becomes significant, making it necessary to consider alternative gate electrodes. Titanium nitride (TiN) films elaborated with TiCl4 precursor is widely studied as metal gate in semi-conductor technology. In this work, a study of TiN metal gate deposited by MOCVD using TDMAT (Tetrakisdimethylamino titanium) precursor is proposed. N2, H2 plasma application and SiH4 treatment after TiN thin film growth modify composition and microstructure. Consequently, they alter the physical properties of films. Such treatments may be a way to modulate work function and thus to control threshold voltage.Metallic layers were deposited in a chamber using a commercial 8 inch wafer deposition tool. In this study, structural and compositional properties of TiN were correlated with work function measurements. Firstly, the composition evolution (carbon content) was studied by AES and SIMS as a function of plasma and SiH4 treatments; XRD gave details on the microstructure. Secondly, MOS structures were processed on uniformly p-type doped wafers. C-V curves of capacitors were used to estimate the flat band voltage (VFB) and gave access to the work function, the effect of oxide fixed charges and the density of interface states. It is shown that as-deposited amorphous films exhibit a work function of 4.4 eV. Exposure to SiH4 is shown to increase this work function of about 150 meV. Thin films properties are not impacted by anneal treatments. Work function stability was tested at 425 °C, 900 °C and 1050 °C. Thermodynamic compatibility with gate oxide was verified thanks to experimental results and calculations.
- Published
- 2003
- Full Text
- View/download PDF
38. Study of work function of CVD WSix thin film on high K dielectric
- Author
-
Thierry Farjot, Sylvain Maitrejean, Gérard Passemard, Bernard Guillaumot, S. Allégret, and F. Fillot
- Subjects
Materials science ,business.industry ,Equivalent oxide thickness ,Dielectric ,law.invention ,Capacitor ,Gate oxide ,law ,Optoelectronics ,Work function ,Metal gate ,business ,NMOS logic ,High-κ dielectric - Abstract
To meet requirements of CMOS circuits at sub 45nm scale, gate oxide thickness shall decrease. Thus high K materials are needed as dielectric gate. In this setting, due to gate depletion effect, metallic material should be used as an alternative to poly silicon gate. Moreover, specifications on threshold voltage require modulation of gate material work function with respect to nMOS or pMOS transistor. WSix work function is known to be sensitive to material stoichiometry. In this work, WSix thin films with x between 2.2 and 2.5 are evaluated as metal gate on HfO2 and SiO2 dielectric. Film chemical characteristics are correlated with work function measurements.Thin films are deposited using WF6 and dichlorosilane on a 200mm wafer industrial chamber. Thermal treatments are applied to sample in order to recrystallise the film and confirm it stability. MOS Capacitors are processed. Electrical characterizations (capacitance vs voltage) are used to extract work function with respect to film composition.Films are chemically and morphologically stable up to 800°C. A W/Si ratio gradient is observed between surface and dielectric/film interface. Whatever the nominal stoichiometry, Si/W ratio is constant at this interface. This result is correlated with identical work function measurement for different nominal stoichiometry. Moreover no differences are observed between Vfb vs equivalent oxide thickness curves for HfO2 and SiO2 dielectric. High EOT variations have been identified for SiO2 capacitors in contrast with SiO2/HfO2 capacitors. These results characterize WSix as a suitable metal gate for nMOS transistors.
- Published
- 2003
- Full Text
- View/download PDF
39. The effect of Ta interface on the crystallization of amorphous phase change material thin films
- Author
-
Giada Ghezzi, Nicolas Bernier, Françoise Hippert, C. Sabbione, M. Marra, Janina Ferrand, Sylvain Maitrejean, Pierre Noé, F. Fillot, Laboratoire des matériaux et du génie physique (LMGP ), Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Centre de Recherches sur les Macromolécules Végétales (CERMAV), Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Université Joseph Fourier - Grenoble 1 (UJF), Aracruz (Europe), Università degli Studi di Roma Tor Vergata [Roma], Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire Géomatériaux et Environnement (LGE), Université Paris-Est Marne-la-Vallée (UPEM), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Diffraction ,Oxide minerals ,Materials science ,Physics and Astronomy (miscellaneous) ,Tantalum ,chemistry.chemical_element ,[CHIM.MATE]Chemical Sciences/Material chemistry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Cladding (fiber optics) ,01 natural sciences ,law.invention ,Amorphous solid ,Crystallography ,chemistry ,law ,0103 physical sciences ,X-ray crystallography ,Crystallization ,Thin film ,Composite material ,0210 nano-technology - Abstract
International audience; The crystallization of amorphous GeTe and Ge2Sb2Te5 phase change material films, with thickness between 10 and 100 nm, sandwiched between either Ta or SiO2 layers, was investigated by optical reflectivity. Ta cladding layers were found to increase the crystallization temperature, even for films as thick as 100 nm. X-Ray diffraction investigations of crystallized GeTe films showed a very weak texture in Ta cladded films, in contrast with the strong texture observed for SiO2 cladding layers. This study shows that crystallization mechanism of phase change materials can be highly impacted by interface effects, even for relatively thick films. (C) 2014 AIP Publishing LLC.
- Published
- 2014
- Full Text
- View/download PDF
40. Work Function Tuning of Ti[sub x]Si[sub y]N[sub z] Electrodes Using Partial Saturation of Chemisorbing Surface during Pulsing Chemical Vapor Deposition
- Author
-
Bernard Chenevier, F. Fillot, F. Pierre, and S. Maitrejean
- Subjects
Materials science ,020209 energy ,General Chemical Engineering ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Chemical vapor deposition ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electrochemistry ,General Materials Science ,Work function ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Thin film ,010302 applied physics ,Silane ,Capacitor ,chemistry ,Chemisorption ,Electrode ,Titanium - Abstract
In this article, the achievement of TixSiyNz metal gates with tunable work function is demonstrated using partial saturation during pulsing chemical vapor deposition. Thin films were deposited on SiO2 using a pulse sequence with tetrakisdimethyl amido titanium, NH3, and SiH4 precursors. By adjusting the silane pulse, the chemisorption kinetics of silane on the surface is finely tuned to control the composition of TixSiyNz layers. Their effective work functions were measured in metal-oxide-semiconductor capacitors. Results indicate that the effective work function can be accurately monitored in the range of 4.35-4.60 eV by adjusting the silane pulse time.
- Published
- 2009
- Full Text
- View/download PDF
41. Experimental study of the minimum metal gate thickness required to fix the effective work function in metal-oxide-semiconductor capacitors
- Author
-
I. Matko, Sylvain Maitrejean, F. Fillot, and Bernard Chenevier
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,Gate dielectric ,chemistry.chemical_element ,Chemical vapor deposition ,Nitride ,law.invention ,Capacitor ,chemistry ,Gate oxide ,law ,Work function ,Composite material ,Metal gate ,Tin - Abstract
We have investigated the dependence of the effective work function (EWF) of a poly-p+∕TiN∕SiO2∕Si capacitor as a function of the TiN layer thickness. By using a pulsing chemical vapor deposition process, the nitride layer was demonstrated to be continuous from 2.2nm. It was also shown that the EWF of capacitors, made with TiN layer thickness ranging between 2.2 and 7.1nm, is independent of the thickness and similar to that of bulk TiN. Our study indicates that the EWF of the entire gate electrode stack is determined by the first metallic monolayers (
- Published
- 2008
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.