Search

Your search keyword '"Horiguchi, N."' showing total 599 results

Search Constraints

Start Over You searched for: Author "Horiguchi, N." Remove constraint Author: "Horiguchi, N."
599 results on '"Horiguchi, N."'

Search Results

1. Transition-state-theory-based interpretation of Landau double well potential for ferroelectrics

2. Dual Operation of Gate-All-Around Silicon Nanowires at Cryogenic Temperatures: FET and Quantum Dot

6. Towards Improved Nanosheet-Based Complementary Field Effect Transistor (CFET) Performance Down to 42nm Contacted Gate Pitch

10. 3D Stacked Devices and MOL Innovations for Post-Nanosheet CMOS Scaling

14. Integration of a Stacked Contact MOL for Monolithic CFET

16. Molybdenum Nitride as a Scalable and Thermally Stable pWFM for CFET

17. Nanosheet-based Complementary Field-Effect Transistors (CFETs) at 48nm Gate Pitch, and Middle Dielectric Isolation to enable CFET Inner Spacer Formation and Multi-Vt Patterning

19. Reliability challenges in Forksheet Devices: (Invited Paper)

20. Forksheet FETs with Bottom Dielectric Isolation, Self-Aligned Gate Cut, and Isolation between Adjacent Source-Drain Structures

21. Insights into Scaled Logic Devices Connected from Both Wafer Sides

22. Semi-damascene Integration of a 2-layer MOL VHV Scaling Booster to Enable 4-track Standard Cells

24. Low temperature source/drain epitaxy and functional silicides: essentials for ultimate contact scaling

25. FinFETs with Thermally Stable RMG Gate Stack for Future DRAM Peripheral Circuits

26. Scaled FinFETs Connected by Using Both Wafer Sides for Routing via Buried Power Rails

28. FinFETs and Their Futures

29. Demonstration of 3D sequential FD-SOI on CMOS FinFET stacking featuring low temperature Si layer transfer and top tier device fabrication with tier interconnections

30. High Performance Thermally Resistant FinFETs DRAM Peripheral CMOS FinFETs with VTH Tunability for Future Memories

31. Enabling Active Backside Technology for ESD and LU Reliability in DTCO/STCO

33. Evaluating Forksheet FET Reliability Concerns by Experimental Comparison with Co-integrated Nanosheets

34. Bias Temperature Instability (BTI) of High-Voltage Devices for Memory Periphery

38. Dipole-First Gate Stack as a Scalable and Thermal Budget Flexible Multi-Vt Solution for Nanosheet/CFET Devices

39. Comparison of Electrical Performance of Co-Integrated Forksheets and Nanosheets Transistors for the 2nm Technological Node and Beyond

40. Low-temperature atomic and molecular hydrogen anneals for enhanced chemical $\mathbf{SiO}_{2}$ IL quality in low thermal budget RMG stacks

41. Buried Power Rail Metal exploration towards the 1 nm Node

42. Properties of ALD TaxNy films as a barrier to aluminum in work function metal stacks.

43. Novel low thermal budget gate stack solutions for BTI reliability in future Logic Device technologies : Invited paper

46. Reliability of Barrierless PVD Mo

47. Process Integration of High Aspect Ratio Vias with a Comparison between Co and Ru Metallizations

49. Disruptive Technology Elements, and Rapid and Accurate Block-Level Performance Evaluation for 3nm and Beyond

Catalog

Books, media, physical & digital resources