Search

Your search keyword '"Mitard, J."' showing total 330 results

Search Constraints

Start Over You searched for: Author "Mitard, J." Remove constraint Author: "Mitard, J."
330 results on '"Mitard, J."'

Search Results

1. Towards Improved Nanosheet-Based Complementary Field Effect Transistor (CFET) Performance Down to 42nm Contacted Gate Pitch

2. Molybdenum Nitride as a Scalable and Thermally Stable pWFM for CFET

3. Nanosheet-based Complementary Field-Effect Transistors (CFETs) at 48nm Gate Pitch, and Middle Dielectric Isolation to enable CFET Inner Spacer Formation and Multi-Vt Patterning

5. Total-Ionizing-Dose Effects in IGZO Thin-Film Transistors

6. Forksheet FETs with Bottom Dielectric Isolation, Self-Aligned Gate Cut, and Isolation between Adjacent Source-Drain Structures

15. Dipole-First Gate Stack as a Scalable and Thermal Budget Flexible Multi-Vt Solution for Nanosheet/CFET Devices

16. Understanding and modelling the PBTI reliability of thin-film IGZO transistors

17. Comparison of Electrical Performance of Co-Integrated Forksheets and Nanosheets Transistors for the 2nm Technological Node and Beyond

29. Reliability and Variability-Aware DTCO Flow: Demonstration of Projections to N3 FinFET and Nanosheet Technologies

31. Electrical TCAD simulations of a germanium pMOSFET technology

32. Quantification of drain extension leakage in a scaled bulk germanium PMOS technology

33. Capacitor-less, Long-Retention (>400s) DRAM Cell Paving the Way towards Low-Power and High-Density Monolithic 3D DRAM

36. Addressing Key Challenges for SiGe-pFin Technologies: Fin Integrity, Low-DIT Si-Cap-Free Gate Stack and Optimizing the Channel Strain

37. Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node

38. 3D Sequential Low Temperature Top Tier Devices using Dopant Activation with Excimer Laser Anneal and Strained Silicon as Performance Boosters

41. 3D-carrier Profiling and Parasitic Resistance Analysis in Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors

42. Record GmSAT/SSSAT and PBTI Reliability in Si-Passivated Ge nFinFETs by Improved Gate-Stack Surface Preparation

43. IGZO Integration Scheme For Enabling IGZO nFETs

45. Gate Bias and Length Dependences of Total-Ionizing-Dose Effects in InGaAs FinFETs on Bulk Si

46. High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm LG

47. 12-EUV Layer Surrounding Gate Transistor (SGT) for Vertical 6-T SRAM: 5-nm-class Technology for Ultra-Density Logic Devices

48. A record GmSAT/SSSAT and PBTI reliability in Si-passivated Ge nFinFETs by improved gate stack surface preparation

50. First Demonstration of 3D stacked Finfets at a 45nm fin pitch and 110nm gate pitch technology on 300mm wafers

Catalog

Books, media, physical & digital resources