37 results on '"Nihar Mohanty"'
Search Results
2. Materials development for immersive augmented reality experiences
- Author
-
Ankit Vora, Nihar Mohanty, Keren Zhang, Giuseppe Calafiore, Tingling Rao, Heeyoon Lee, Geraud Dubois, and Matthew E. Colburn
- Published
- 2022
3. Multi-Functioning Home Bot with Integrated Feedback System
- Author
-
Samir Kumar Bastia, Lalit Mohan Satapathy, and Nihar Mohanty
- Subjects
Computer science ,business.industry ,Embedded system ,Arduino ,Robot ,General Materials Science ,Pathfinding ,business ,Automation - Abstract
Now-a-days, automation in home is becoming more popular. It always remains important to consider accuracy and speed in a said job. This paper is addressing an Arduino Mega micro-controller based Home Bot, which has several aspects like cleaning of the floor and on-off switches as per requirement, theft detection and monitoring. The ability of the proposed model is auto pathfinding when ever hurdles appear and auto detection when a trouble appears inside the Home Bot. It is verified that the cost of this module is around 21%, compared to the robots available in the market having features of only floor cleaning.
- Published
- 2019
4. Front Matter: Volume 11615
- Author
-
Julie Bannister and Nihar Mohanty
- Subjects
Materials science ,Process integration ,Nanotechnology - Published
- 2021
5. Welcome and Introduction to SPIE Conference 11615
- Author
-
Julie Bannister and Nihar Mohanty
- Subjects
Engineering ,business.industry ,Process integration ,business ,Engineering physics ,Lithography - Abstract
Introduction to SPIE Advanced Lithography conference 11615: Advanced Etch Technology and Process Integration for Nanopatterning X.
- Published
- 2021
6. Novel patterning schemes and technologies for the sub 5nm era
- Author
-
Kal Subhadeep, Nihar Mohanty, Richard A. Farrell, Jeffrey Smith, Sophie Thibaut, Andrew Metz, Akiteru Ko, Anton DeVillier, Peter Biolsi, and Angelique Raley
- Subjects
Computer science ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Chip ,01 natural sciences ,Electronic mail ,010309 optics ,Computer architecture ,0103 physical sciences ,Multiple patterning ,Node (circuits) ,Electronics ,0210 nano-technology ,Lithography ,Immersion lithography - Abstract
Multipatterning has enabled continued scaling of chip technology at the 28nm logic node and beyond see Fig. 1. Self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) as well as Litho-Etch/Litho-Etch iterations are widely used in the semiconductor industry to reach sub 193 immersion lithography resolutions for critical layers such as FIN, Gate and Metal lines. Multipatterning requires the use of multiple masks, which is costly and increases process complexity as well as edge placement error variation mostly driven by overlay. In our presentation, we will propose and demonstrate novel patterning concepts, which can curb some of these downsides and usher in the next technological advancements required for further scaling. We will also survey the progress and maturity of EUV patterning in contrast to multipatterning options.
- Published
- 2018
7. Roughness and uniformity improvements on self-aligned quadruple patterning technique for 10nm node and beyond by wafer stress engineering
- Author
-
Elliott Franke, Akiteru Ko, Peter Biolsi, Eric Liu, David L. O'Meara, Karthik Pillai, and Nihar Mohanty
- Subjects
Optics ,Materials science ,business.industry ,Line (geometry) ,Node (circuits) ,Wafer ,Surface finish ,business ,Curvature ,Critical dimension ,Lithography ,Immersion lithography - Abstract
Dimension shrinkage has been a major driving force in the development of integrated circuit processing over a number of decades. The Self-Aligned Quadruple Patterning (SAQP) technique is widely adapted for sub-10nm node in order to achieve the desired feature dimensions. This technique provides theoretical feasibility of multiple pitch-halving from 193nm immersion lithography by using various pattern transferring steps. The major concept of this approach is to a create spacer defined self-aligned pattern by using single lithography print. By repeating the process steps, double, quadruple, or octuple are possible to be achieved theoretically. In these small architectures, line roughness control becomes extremely important since it may contribute to a significant portion of process and device performance variations. In addition, the complexity of SAQP in terms of processing flow makes the roughness improvement indirective and ineffective. It is necessary to discover a new approach in order to improve the roughness in the current SAQP technique. In this presentation, we demonstrate a novel method to improve line roughness performances on 30nm pitch SAQP flow. We discover that the line roughness performance is strongly related to stress management. By selecting different stress level of film to be deposited onto the substrate, we can manipulate the roughness performance in line and space patterns. In addition, the impact of curvature change by applied film stress to SAQP line roughness performance is also studied. No significant correlation is found between wafer curvature and line roughness performance. We will discuss in details the step-by-step physical performances for each processing step in terms of critical dimension (CD)/ critical dimension uniformity (CDU)/line width roughness (LWR)/line edge roughness (LER). Finally, we summarize the process needed to reach the full wafer performance targets of LWR/LER in 1.07nm/1.13nm on 30nm pitch line and space pattern.
- Published
- 2017
8. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)
- Author
-
Angelique Raley, Akiteru Ko, Sophie Thibaut, Cheryl Pereira, Subhadeep Kal, Nihar Mohanty, Aelan Mosden, Karthik Pillai, Richard A. Farrell, Peter Biolsi, and Elliott Franke
- Subjects
010302 applied physics ,Materials science ,Plasma etching ,business.industry ,Nanotechnology ,Surface finish ,Edge (geometry) ,01 natural sciences ,010305 fluids & plasmas ,Back end of line ,Etch pit density ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,business ,Front end of line ,Critical dimension - Abstract
Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the features during the etch process. Herein we will also demonstrate a test case on how a combination or plasma assisted and plasma free etch techniques has the potential to improve process performance of a 193nm immersion based self aligned quandruple patterning (SAQP) for BEOL compliant films (an example shown in Fig 2). In addition, we will also present on the application of gas etches for (1) profile improvement, (2) selective mandrel pull (3) critical dimension trim of mandrels, with an analysis of advantages over conventional techniques in terms of LER and EPE.
- Published
- 2017
9. EPE improvement thru self-alignment via multi-color material integration
- Author
-
Andrew Metz, David Hetzer, Nihar Mohanty, Subhadeep Kal, Carlos Fonseca, Ryan L. Burns, Xinghua Sun, Devillers Anton J, Cheryl Pereira, Angelique Raley, Akiteru Ko, Steven Scheer, Jeffrey Smith, Richard A. Farrell, Peter Biolsi, and Lior Huli
- Subjects
010302 applied physics ,Fabrication ,Computer science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Back end of line ,Material selection ,Etching ,Chemical-mechanical planarization ,0103 physical sciences ,Trench ,Electronic engineering ,Wafer ,0210 nano-technology ,Front end of line - Abstract
As the industry marches on onto the 5nm node and beyond, scaling has slowed down, with all major IDMs & foundries predicting a 3-4 year cadence for scaling. A major reason for this slowdown is not the technical challenge of making features smaller, but effective control of variation that creeps in to the fabrication process. That variability manifests itself as edge placement error (EPE), which has a direct impact on wafer yield. Simply defined as the variance between design intent vs. actual on-wafer results, EPE is one of the foremost challenges being faced by the industry at the advanced node for both logic and memory. This is especially critical at three stages: the front end of line (FEOL) STI patterning; middle of line (MOL) contact patterning; and back end of line (BEOL) trench patterning where the desired tight pitch demands EPE control beyond the capability of 193i multi-patterning or even EUV single pattern. In order to mitigate this EPE challenge, we are proposing self-alignment of blocks & cuts through a multi-color materials integration concept. This approach, termed as “Self-aligned block or Cut (SAB or SACut)”, simply trades off the un-manageable overlay requirement into a more manageable etch selectivity challenge, by having multiple materials filled in every other trench or line. In this paper we will introduce self-alignment based block and cut strategies using multi-color materials integration and show implementation for BEOL trench block patterning. We will present a breakdown of the key unit process challenges that were needed to be resolved for enabling the self-alignment such as: (a) material selection of multi-color approach; (b) planarization of spin on materials; (c) void-free gap fill for high aspect ratio features; and last but not the least, (c) etch selectivity of etching one material with respect to all other materials exposed. Further, we will present a comparison of our new self-alignment approach with standard approaches where we will articulate the advantages in terms of EPE relaxation and mask number reduction. We will conclude our talk with a brief snapshot of the future direction of our EPE improvement strategies and our view on the future of patterning beyond 5nm node for the industry.
- Published
- 2017
10. Self-aligned blocking integration demonstration for critical sub-40nm pitch Mx level patterning
- Author
-
Akiteru Ko, Anton J. deVilliers, Nihar Mohanty, Xinghua Sun, Richard A. Farrell, Angelique Raley, Jeffrey Smith, Peter Biolsi, and Andrew Metz
- Subjects
business.industry ,Computer science ,Nanotechnology ,02 engineering and technology ,Dielectric ,Edge (geometry) ,021001 nanoscience & nanotechnology ,Blocking (statistics) ,01 natural sciences ,010309 optics ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Multiple patterning ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Immersion lithography ,Block (data storage) - Abstract
Multipatterning has enabled continued scaling of chip technology at the 28nm node and beyond. Selfaligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) as well as Litho- Etch/Litho-Etch (LELE) iterations are widely used in the semiconductor industry to enable patterning at sub 193 immersion lithography resolutions for layers such as FIN, Gate and critical Metal lines. Multipatterning requires the use of multiple masks which is costly and increases process complexity as well as edge placement error variation driven mostly by overlay. To mitigate the strict overlay requirements for advanced technology nodes (7nm and below), a self-aligned blocking integration is desirable. This integration trades off the overlay requirement for an etch selectivity requirement and enables the cut mask overlay tolerance to be relaxed from half pitch to three times half pitch. Selfalignement has become the latest trend to enable scaling and self-aligned integrations are being pursued and investigated for various critical layers such as contact, via, metal patterning. In this paper we propose and demonstrate a low cost flexible self-aligned blocking strategy for critical metal layer patterning for 7nm and beyond from mask assembly to low –K dielectric etch. The integration is based on a 40nm pitch SADP flow with 2 cut masks compatible with either cut or block integration and employs dielectric films widely used in the back end of the line. As a consequence this approach is compatible with traditional etch, deposition and cleans tools that are optimized for dielectric etches. We will review the critical steps and selectivities required to enable this integration along with bench-marking of each integration option (cut vs. block).
- Published
- 2017
11. Self-aligned quadruple patterning using spacer on spacer integration optimization for N5
- Author
-
Eric Liu, Kandabara Tapily, Subhadeep Kal, Peter Biolsi, Angelique Raley, Akiteru Ko, Nihar Mohanty, Sophie Thibaut, and David L. O'Meara
- Subjects
business.industry ,Mechanical engineering ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Mandrel ,Semiconductor ,Robustness (computer science) ,0103 physical sciences ,Multiple patterning ,Wafer ,0210 nano-technology ,business ,Scaling ,Critical dimension ,Immersion lithography - Abstract
To meet scaling requirements, the semiconductor industry has extended 193nm immersion lithography beyond its minimum pitch limitation using multiple patterning schemes such as self-aligned double patterning, self-aligned quadruple patterning and litho-etch / litho etch iterations. Those techniques have been declined in numerous options in the last few years. Spacer on spacer pitch splitting integration has been proven to show multiple advantages compared to conventional pitch splitting approach. Reducing the number of pattern transfer steps associated with sacrificial layers resulted in significant decrease of cost and an overall simplification of the double pitch split technique. While demonstrating attractive aspects, SAQP spacer on spacer flow brings challenges of its own. Namely, material set selections and etch chemistry development for adequate selectivities, mandrel shape and spacer shape engineering to improve edge placement error (EPE). In this paper we follow up and extend upon our previous learning and proceed into more details on the robustness of the integration in regards to final pattern transfer and full wafer critical dimension uniformity. Furthermore, since the number of intermediate steps is reduced, one will expect improved uniformity and pitch walking control. This assertion will be verified through a thorough pitch walking analysis.
- Published
- 2017
12. Highly selective dry-plasma-free chemical etch technique for advanced patterning
- Author
-
Richard A. Farrell, Cheryl Pereira, Subhadeep Kal, Aelan Mosden, Nihar Mohanty, Akiteru Ko, and Peter Biolsi
- Subjects
Materials science ,Chemical engineering ,Plasma ,Highly selective - Published
- 2017
13. Self-aligned block technology: a step toward further scaling
- Author
-
Jeffrey S. Smith, Ryan Ryoung Han Kim, Kathleen Nafus, Christopher J. Wilson, Zsolt Tokei, Lior Huli, Daniele Piumi, Julien Ryckaert, Victor Vega Gonzalez, Julie Bannister, Frederic Lazzarino, Nihar Mohanty, Steven Scheer, Arindam Mallik, Stefan Decoster, Carlos Fonseca, Kathy Barla, Marc Demand, Kaushik A. Kumar, Yannick Feurprier, Philippe Leray, Anton J. deVilliers, Jürgen Boemmels, and Vinh Luong
- Subjects
010302 applied physics ,Computer science ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Metal ,Back end of line ,Chemical-mechanical planarization ,visual_art ,0103 physical sciences ,visual_art.visual_art_medium ,Electronic engineering ,Node (circuits) ,Layer (object-oriented design) ,0210 nano-technology ,Scaling ,Block (data storage) - Abstract
In this work, we present and compare two integration approaches to enable self-alignment of the block suitable for the 5- nm technology node. The first approach is exploring the insertion of a spin-on metal-based material to memorize the first block and act as an etch stop layer in the overall integration. The second approach is evaluating the self-aligned block technology employing widely used organic materials and well-known processes. The concept and the motivation are discussed considering the effects on design and mask count as well as the impact on process complexity and EPE budget. We show the integration schemes and discuss the requirements to enable self-alignment. We present the details of materials and processes selection to allow optimal selective etches and we demonstrate the proof of concept using a 16- nm half-pitch BEOL vehicle. Finally, a study on technology insertion and cost estimation is presented.
- Published
- 2017
- Full Text
- View/download PDF
14. Covalent Functionalization of Dipole-Modulating Molecules on Trilayer Graphene: An Avenue for Graphene-Interfaced Molecular Machines
- Author
-
Phong Nguyen, Vivek B. Shenoy, Vikas Berry, Keith L. Hohn, Nihar Mohanty, T. S. Sreeprasad, Kabeer Jasuja, Junwen Li, and Myles Ikenberry
- Subjects
Materials science ,Graphene ,Nanotechnology ,General Chemistry ,Molecular configuration ,Molecular machine ,law.invention ,Biomaterials ,symbols.namesake ,Quantum capacitance ,chemistry.chemical_compound ,Dipole ,Azobenzene ,chemistry ,law ,Chemical physics ,symbols ,Molecule ,General Materials Science ,Raman spectroscopy ,Biotechnology - Abstract
The molecular dipole moment plays a significant role in governing important phenomena like molecular interactions, molecular configuration, and charge transfer, which are important in several electronic, electrochemical, and optoelectronic systems. Here, the effect of the change in the dipole moment of a tethered molecule on the carrier properties of (functionalized) trilayer graphene--a stack of three layers of sp(2)-hybridized carbon atoms--is demonstrated. It is shown that, due to the high carrier confinement and large quantum capacitance, the trans-to-cis isomerisation of 'covalently attached' azobenzene molecules, with a change in dipole moment of 3D, leads to the generation of a high effective gating voltage. Consequently, 6 units of holes are produced per azobenzene molecule (hole density increases by 440 000 holes μm(-2)). Based on Raman and X-ray photoelectron spectroscopy data, a model is outlined for outer-layer, azobenzene-functionalized trilayer graphene with current modulation in the inner sp(2) matrix. Here, 0.097 V are applied by the isomerisation of the functionalized azobenzene. Further, the large measured quantum capacitance of 72.5 μF cm(-2) justifies the large Dirac point in the heavily doped system. The mechanism defining the effect of dipole modulation of covalently tethered molecules on graphene will enable future sensors and molecular-machine interfaces with graphene.
- Published
- 2013
15. A spacer-on-spacer scheme for self-aligned multiple patterning and integration
- Author
-
Akiteru Ko, Nihar Mohanty, David L. O'Meara, Nakamura Satoru, Steven Consiglio, Sophie Thibaut, Peter Biolsi, Kal Subhadeep, Kandabara Tapily, and Angelique Raley
- Subjects
Scheme (programming language) ,Materials science ,business.industry ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Multiple patterning ,Optoelectronics ,0210 nano-technology ,business ,computer ,computer.programming_language - Published
- 2016
16. LER improvement for sub-32nm pitch self-aligned quadruple patterning (SAQP) at back end of line (BEOL)
- Author
-
Genevieve Beique, Lei Sun, Jeffrey S. Smith, Wenhui Wang, Richard A. Farrell, C. Labelle, Peter Biolsi, Kal Subhadeep, Cheryl Periera, Elliott Franke, Erik Verdujn, Ryoung-han Kim, Erik R. Hosler, Nihar Mohanty, Akiteru Ko, and Anton J. deVilliers
- Subjects
010302 applied physics ,Materials science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,Mandrel ,Back end of line ,Resist ,Stack (abstract data type) ,0103 physical sciences ,Node (circuits) ,0210 nano-technology ,Front end of line ,Lithography - Abstract
Critical back end of line (BEOL) Mx patterning at 7nm technology node and beyond requires sub-36nm pitch line/space pattern in order to meet the scaling requirements. This small pitch can be achieved by either extreme ultraviolet (EUV) lithography or 193nm-immersion-lithography based self-aligned quadruple patterning (SAQP). With enormous challenges being faced in production readiness of EUV lithography, SAQP is expected to be the front up approach for Mx grid patterning for most of industry. In contrast to the front end of line (FEOL) fin patterning, which has successfully deployed SAQP approach since 10nm node technology, BEOL Mx SAQP is challenging owing to the required usage of significantly lower temperature budgets for film stack deposition. This has an adverse impact on the material properties of the as-deposited films leading to emergence of several challenges for etch including selectivity, uniformity and roughness. In this presentation we will highlight those unique etch challenges associated with our BEOL Mx SAQP patterning strategy and summarize our efforts in optimizing the patterning stack, etch chemistries & process steps for meeting the 7nm technology node targets. We will present comparison data on both organic and in-organic mandrel stacks with respect to LER/LWR & CDU. With LER being one of the most critical targets for 7nm BEOL Mx, we will outline our actions for optimization of our stack including resist material, mandrel material, spacer material and others. Finally, we would like to update our progress on achieving the target LER of 1.5 nm for 32nm pitch BEOL SAQP pattern.
- Published
- 2016
17. Semiconductor scaling via self-aligned block patterning
- Author
-
Jeffrey Smith, Cheryl Pereira, Lior Huli, Richard A. Farrell, Akiteru Ko, Nihar Mohanty, Devillers Anton J, and David Hetzer
- Subjects
Semiconductor ,Materials science ,business.industry ,Block (telecommunications) ,Optoelectronics ,business ,Scaling - Published
- 2016
18. Impermeable Graphenic Encasement of Bacteria
- Author
-
Monica M. Fahrenholtz, Daniel L. Boyle, Ashvin Nagaraja, Nihar Mohanty, and Vikas Berry
- Subjects
Bacteria ,Chemistry ,Graphene ,Mechanical Engineering ,Ultra-high vacuum ,Bioengineering ,Nanotechnology ,General Chemistry ,Calcium nitride ,Condensed Matter Physics ,Electrostatics ,law.invention ,chemistry.chemical_compound ,Membrane ,Microscopy, Electron, Transmission ,Chemical engineering ,law ,Transmission electron microscopy ,Interstitial defect ,Torr ,Graphite ,General Materials Science - Abstract
Transmission electron microscopy (TEM) of hygroscopic, permeable, and electron-absorbing biological cells has been an important challenge due to the volumetric shrinkage, electrostatic charging, and structural degradation of cells under high vacuum and fixed electron beam.(1-3) Here we show that bacterial cells can be encased within a graphenic chamber to preserve their dimensional and topological characteristics under high vacuum (10(-5) Torr) and beam current (150 A/cm(2)). The strongly repelling π clouds in the interstitial sites of graphene's lattice(4) reduces the graphene-encased-cell's permeability(5) from 7.6-20 nm/s to 0 nm/s. The C-C bond flexibility(5,6) enables conformal encasement of cells. Additionally, graphene's high Young's modulus(6,7) retains cell's structural integrity under TEM conditions, while its high electrical(8) and thermal conductivity(9) significantly abates electrostatic charging. We envision that the graphenic encasement approach will facilitate real-time TEM imaging of fluidic samples and potentially biochemical activity.
- Published
- 2011
19. Biocompatible, Robust Free-Standing Paper Composed of a TWEEN/Graphene Composite
- Author
-
Weiwei Cai, Ashvin Nagaraja, Rodney S. Ruoff, Sungjin Park, Richard D. Piner, Nihar Mohanty, Vikas Berry, Ji Won Suk, Jinho An, and Daniel R. Dreyer
- Subjects
Materials science ,Surface Properties ,Polysorbates ,Biocompatible Materials ,Nanotechnology ,Carbon nanotube ,Cell Line ,Nanomaterials ,law.invention ,Colloid ,chemistry.chemical_compound ,law ,Chlorocebus aethiops ,Animals ,General Materials Science ,Vero Cells ,Microscopy, Confocal ,Aqueous solution ,integumentary system ,Graphene ,Mechanical Engineering ,Sorbitan ,equipment and supplies ,Carbon ,Nanostructures ,chemistry ,Chemical engineering ,Mechanics of Materials ,Drug delivery ,Cats ,Cattle ,Biosensor - Abstract
Nonspecific binding (NSB), a random adsorption of biocomponents such as proteins and bacteria on noncomplementary materials,isoneofthebiggestproblemsinbiological applications including biosensors, protein chips, surgical instruments, drug delivery, and biomedicine. Polyoxyethylene sorbitan laurate (TWEEN), a commercially available chemical with aliphatic ester chains, has shown promise as a medical material and in overcomingproblems associated withNSB. [1‐4] However,stability during solution-based processing and uniformity of the materials that have TWEEN coating on flat substrates or nanomaterials using the selfassembled-monolayer (SAM) method has been an important issue. Further, biocompatible materials with high strength are important for several medical applications including stents, nail implants, and strong invasive instruments. Here, we present the production of a free-standing ‘‘paperlike’’ material composed of TWEEN and reduced graphene oxide (RGO) platelets and obtained by simple filtration of a homogeneous aqueous colloidal suspension of TWEEN/RGO hybrid. The ‘‘TWEEN paper’’ was highly stable in water without leakage of TWEEN and is compliant and sufficiently robust to be handled by hand without breaking. Furthermore, the TWEEN paper was noncytotoxic to three mammalian cell lines and biocompatible, inhibiting nonspecific binding of Gram-positive bacteria. [5] In contrast, RGO paper without TWEEN showed nonspecific bacterial binding. TWEEN is composed ofthree chemical parts (Fig. 1a): aliphatic esterchains that can prevent NSB ofbiomolecules, three-terminal hydroxyl groups that are hydrophilic and can be chemically modified for further applications, and an aliphatic chain that can easily be adsorbed on a hydrophobic surface by noncovalent interaction. Protein microarrays on flat substrates with SAM of TWEEN [4] and highly sensitive biosensors, [1‐3] built using field-effect transistor (FET) behavior of individual carbon nanotube (CNT) strands coated with TWEEN, have demonstrated that TWEEN can be effectively used to overcome NSB.
- Published
- 2010
20. Graphene-Based Single-Bacterium Resolution Biodevice and DNA Transistor: Interfacing Graphene Derivatives with Nanoscale and Microscale Biocomponents
- Author
-
Vikas Berry and Nihar Mohanty
- Subjects
Materials science ,Nanostructure ,Bacteria ,Base Sequence ,Graphene ,Mechanical Engineering ,Transistor ,Bioengineering ,Nanotechnology ,Biosensing Techniques ,General Chemistry ,Microscopy, Atomic Force ,Condensed Matter Physics ,Polyelectrolyte ,Nanostructures ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,General Materials Science ,DNA Probes ,Nanoscopic scale ,Microscale chemistry ,DNA ,Macromolecule - Abstract
Establishing "large-contact-area" interfaces of sensitive nanostructures with microbes and mammalian cells will lead to the development of valuable tools and devices for biodiagnostics and biomedicine. Chemically modified graphene (CMG) nanostructures with their microscale area, sensitive electrical properties, and modifiable chemical functionality are excellent candidates for such biodevices at both biocellular and biomolecular scale. Here, we report on the fabrication and functioning of a novel CMG-based (i) single-bacterium biodevice, (ii) label-free DNA sensor, and (iii) bacterial DNA/protein and polyelectrolyte chemical transistor. The bacteria biodevice was highly sensitive with a single-bacterium attachment generating approximately 1400 charge carriers in a p-type CMG. Similarly, single-stranded DNA tethered on graphene hybridizes with its complementary DNA strand to reversibly increase the hole density by 5.61 x 1012 cm(-2). We further demonstrate (a) a control on the device sensitivity by manipulating surface groups, (b) switching of polarity specificity by changing surface polarity, and (c) a preferential attachment of DNA on thicker CMG surfaces and sharp CMG wrinkles.
- Published
- 2008
21. A rapid spectrophotometric determination of persulfate anion in ISCO
- Author
-
Nihar Mohanty, Chenju Liang, Chiu-Fen Huang, and Rama Mohan Kurakalva
- Subjects
Anions ,Environmental Engineering ,Iron ,Health, Toxicology and Mutagenesis ,Inorganic chemistry ,Sodium persulfate ,Matrix (chemical analysis) ,chemistry.chemical_compound ,Iodometry ,Peroxydisulfate ,Environmental Chemistry ,Environmental Restoration and Remediation ,Sulfates ,Public Health, Environmental and Occupational Health ,General Medicine ,General Chemistry ,Hydrogen-Ion Concentration ,Persulfate ,Pollution ,chemistry ,Spectrophotometry ,In situ chemical oxidation ,Reagent ,Environmental Pollutants ,Titration ,Oxidation-Reduction ,Environmental Monitoring - Abstract
Due to a gradual increase in the use of persulfate as an in situ chemical oxidation (ISCO) oxidant, a simple measurement of persulfate concentration is desirable to analyze persulfate distribution at designated time intervals on/off a site. Such a distribution helps evaluate efficacy of ISCO treatment at a site. This work proposes a spectrophotometric determination of persulfate based on modification of the iodometric titration method. The analysis of absorption spectra of a yellow color solution resulting from the reaction of persulfate and iodide in the presence of sodium bicarbonate reveals an absorbance at 352 nm, without significant interferences from the reagent matrix. The calibration graph was linear in the range of persulfate solution concentration of 0-70 mM at 352 nm. The proposed method is validated by the iodometric titration method. The solution pH was at near neutral and the presence of iron activator does not interfere with the absorption measurement. Also, analysis of persulfate in a groundwater sample using the proposed method indicates a good agreement with measurements by the titration method. This proposed spectrophotometric quantification of persulfate provides a simple and rapid method for evaluation of ISCO effectiveness at a remediation site.
- Published
- 2008
22. Hydroxypropyl-β-Cyclodextrin-Mediated Iron-Activated Persulfate Oxidation of Trichloroethylene and Tetrachloroethylene
- Author
-
Rama Mohan Kurakalva, Chih-Jen Lu, Chiu-Fen Huang, Nihar Mohanty, and Chenju Liang
- Subjects
Trichloroethylene ,Chemistry ,General Chemical Engineering ,Tetrachloroethylene ,Inorganic chemistry ,In situ remediation ,Kinetics ,General Chemistry ,Persulfate ,Industrial and Manufacturing Engineering ,Activated persulfate ,Ferrous ,Hydroxypropyl-beta-cyclodextrin ,chemistry.chemical_compound - Abstract
Trichloroethylene (TCE) and tetrachloroethylene (PCE) are commonly found contaminants in soil and groundwater. However, the persulfate anion (S2O82-) is an oxidant; when activated with ferrous cati...
- Published
- 2007
23. Fin formation using graphoepitaxy DSA for FinFET device fabrication
- Author
-
Wooyong Cho, Chi-Chun Liu, Mark Somervell, Fee Li Lie, Akiteru Ko, Melih Ozlem, Michael A. Guillorn, Nihar Mohanty, Jay W. Strane, David Hetzer, Sean D. Burns, Hsinyu Tsai, Elliott Franke, Vinayak Rastogi, Sung Gon Jung, Richard A. Farrell, Matthew E. Colburn, Nelson Felix, and Kafai Lai
- Subjects
Directed self assembly ,Fabrication ,Materials science ,Fin ,Silicon ,business.industry ,chemistry.chemical_element ,Silicon on insulator ,Nanotechnology ,Substrate (electronics) ,chemistry ,Optoelectronics ,Process optimization ,business ,Lithography - Abstract
A 27nm-pitch Graphoepitaxy directed self-assembly (DSA) process targeting fin formation for FinFET device fabrication is studied in a 300mm pilot line environment. The re-designed guiding pattern of graphoepitaxy DSA process determines not only the fine DSA structures but also the fin customization in parallel direction. Consequently, the critical issue of placement error is now resolved with the potential of reduction in lithography steps. However, challenges in subsequent pattern transfer are observed due to insufficient etch budget. The cause of the issues and process optimization are illustrated. Finally, silicon fins with 100nm depth in substrate with pre-determined customization is demonstrated.
- Published
- 2015
24. Challenges and mitigation strategies for resist trim etch in resist-mandrel based SAQP integration scheme
- Author
-
Sanjana Das, Elliott Franke, Angelique Raley, Mingmei Wang, Kiyohito Ito, Nihar Mohanty, Eric Liu, Kenjiro Nawa, Devillers Anton J, Richard A. Farrell, Akiteru Ko, Peter Biolsi, David L. O'Meara, Jeffrey S. Smith, Steven Scheer, Alok Ranjan, and Kaushik A. Kumar
- Subjects
Mandrel ,Plasma etching ,Resist ,Computer science ,Extreme ultraviolet lithography ,Etching ,Multiple patterning ,Nanotechnology ,Surface finish ,Lithography ,Engineering physics ,Trim - Abstract
Patterning the desired narrow pitch at 10nm technology node and beyond, necessitates employment of either extreme ultra violet (EUV) lithography or multi-patterning solutions based on 193nm-immersion lithography. With enormous challenges being faced in getting EUV lithography ready for production, multi-patterning solutions that leverage the already installed base of 193nm-immersion-lithography are poised to become the industry norm for 10 and 7nm technology nodes. For patterning sub-40nm pitch line/space features, self-aligned quadruple patterning (SAQP) with resist pattern as the first mandrel shows significant cost as well as design benefit, as compared to EUV lithography or other multi-patterning techniques. One of the most critical steps in this patterning scheme is the resist mandrel definition step which involves trimming / reformation of resist profile via plasma etch for achieving appropriate pitch after the final pattern. Being the first mandrel, the requirements for the Line Edge Roughness (LER) / Line Width Roughness (LWR); critical dimension uniformity (CDU); and profile in 3-dimensions for the resist trim / reformation etch is extremely aggressive. In this paper we highlight the unique challenges associated in developing resist trim / reformation plasma etch process for SAQP integration scheme and summarize our efforts in optimizing the trim etch chemistries, process steps and plasma etch parameters for meeting the mandrel definition targets. Finally, we have shown successful patterning of 30nm pitch patterns via the resist-mandrel SAQP scheme and its implementation for Si-fin formation at 7nm node.
- Published
- 2015
25. Incorporation of direct current superposition as a means for high quality contact and slotted contact structures utilizing litho-freeze-litho-etch
- Author
-
Yuichiro Miyata, Jeffrey Smith, Anton de Villiers, Daniel Fulford, and Nihar Mohanty
- Subjects
Flexibility (engineering) ,Superposition principle ,Materials science ,Resist ,Material selection ,business.industry ,Trench ,Direct current ,Multiple patterning ,Process (computing) ,Optoelectronics ,Nanotechnology ,business - Abstract
Incorporation of litho-freeze-litho-etch (LFLE) double patterning techniques have had limited uses in the industry due to a number of issues including : LER / LWR degradation of 1st layer patterning during the 2nd exposure, the necessity to utilize thermal `freezing' resists which necessitate the incorporation of alcohol-based resist systems, and the inability of extending such processes to NTD resist platforms which have superior patterning capabilities for specific structures which are attractive for LFLE type of applications. The incorporation of directed current superposition (DCS) `freeze' process enables greater flexibility in the materials selection for a true litho-freeze-litho-etch process without incurring any of the detriments of previous LFLE processes. By creating a method to crosslink and harden the initial resist pattern through interaction of ballistic electrons and through the deposition of a thin SiO 2 skin overtop the hardened feature, the initial structure becomes impervious to any solvent attack or exposure-effects associated with typical LFLE processes while simultaneously providing a mechanism to enable a very controllable method for C/H shrink. Additionally, the incorporation of the DCS freeze process provides unlimited flexibility with respect to resist material selection and enables the incorporation of NTD based resists in order to leverage the small trench and hole printing capabilities of these resists in the generation of small contacts and slot contacts through various cross-point double patterning methods. The DCS process can even be tuned to provide LER / LWR benefits to the initial pattern in order to create good fidelity performance of the final structure. We will present results through etch showing the generation of slotted and circular contacts through the LFLE process incorporating the directed current superposition process incorporating multiple type of resist platforms in order to produce a library of different types of contact structures.
- Published
- 2014
26. Towards electrical testable SOI devices using Directed Self-Assembly for fin formation
- Author
-
Ryoung-han Kim, Mark Somervell, Joy Cheng, Matthew E. Colburn, Chi-Chun Liu, Michael A. Guillorn, H. He, Derrick Liu, Nihar Mohanty, Michael Cicoria, David Hetzer, Anthony Schepis, Akiteru Ko, Cristina Estrada-Raygoza, Kafai Lai, Jason Cantone, Melia Tjio, Sylvie Mignot, Vinayak Rastogi, Robin Chao, and Hsinyu Tsai
- Subjects
Fin ,Materials science ,business.industry ,Thermodynamic equilibrium ,Process (computing) ,Silicon on insulator ,Degradation (geology) ,Optoelectronics ,Process optimization ,business ,Line (electrical engineering) ,Communication channel - Abstract
The first fully integrated SOI device using 42nm-pitch directed self-assembly (DSA) process for fin formation has been demonstrated in a 300mm pilot line environment. Two major issues were observed and resolved in the fin formation process. The cause of the issues and process optimization are discussed. The DSA device shows comparable yield with slight short channel degradation which is a result of a large fin CD when compared to the devices made by baseline process. LER/LWR analysis through the DSA process implied that the 42nm-pitch DSA process may not have reached the thermodynamic equilibrium. Here, we also show preliminary results from using scatterometry to detect DSA defects before removing one of the blocks in BCP.
- Published
- 2014
27. Dual frequency mid-gap capacitively coupled plasma (m-CCP) for conventional and DSA patterning at 10nm node and beyond
- Author
-
Vinayak Rastogi, Erik R. Hosler, Christopher Cole, Moshe Preil, Gerard M. Schmid, Kaushik A. Kumar, Akiteru Ko, Jeff J. Xu, Todd E. Ryan, Nihar Mohanty, and Richard A. Farrell
- Subjects
Planar ,Materials science ,business.industry ,Etching (microfabrication) ,Optoelectronics ,Capacitively coupled plasma ,Process window ,Nanotechnology ,Node (circuits) ,Plasma ,business ,Critical dimension ,Aspect ratio (image) - Abstract
In this paper, we demonstrate the unique advantage of dual -frequency mid -gap capacitively coupled plasma (m -CCP) in advanced n ode patterning process with regard to etch rate / depth uniformity and critical dimension (CD) control in conjunction with wider process window for aspect ratio dependent & micro loading effects. Unlike the non -planar plasma sources, the simple design of the mid -gap CCPs enables both metal and non -metal hard -mask based patterning, which provides essential flexibility for conventional and DSA patterning. We present data on both, the conven tional multi patterning as well as DSA patterning for trenches / fins and holes. Rigorous CD control and CDU is shown to be crucial for multi patterning as they lead to undesirable odd -even delta and pitch walking. For DSA patterning, co -optimized N e / V dc of the dual frequency CCPs would be demonstrated to be advantageous for higher organic -to-organic selectivity during co -polymer etching. KEYWORDS
- Published
- 2014
28. Manufacturability considerations for DSA
- Author
-
Erik R. Hosler, Richard A. Farrell, Vinayak Rastogi, Jeff J. Xu, Nihar Mohanty, Michael Cicoria, Anton J. deVilliers, Kaushik A. Kumar, David Hetzer, Moshe Preil, and Gerard M. Schmid
- Subjects
Flexibility (engineering) ,Back end of line ,Computer science ,Process (computing) ,Electronic engineering ,Process window ,Nanotechnology ,Surface finish ,Disclination ,Lithography ,Design for manufacturability - Abstract
Implementation of Directed Self-Assembly (DSA) as a viable lithographic technology for high volume manufacturing will require significant efforts to co-optimize the DSA process options and constraints with existing work flows. These work flows include established etch stacks, integration schemes, and design layout principles. The two foremost patterning schemes for DSA, chemoepitaxy and graphoepitaxy, each have their own advantages and disadvantages. Chemoepitaxy is well suited for regular repeating patterns, but has challenges when non-periodic design elements are required. As the line-space polystyrene-block-polymethylmethacrylate chemoepitaxy DSA processes mature, considerable progress has been made on reducing the density of topological (dislocation and disclination) defects but little is known about the existence of 3D buried defects and their subsequent pattern transfer to underlayers. In this paper, we highlight the emergence of a specific type of buried bridging defect within our two 28 nm pitch DSA flows and summarize our efforts to characterize and eliminate the buried defects using process, materials, and plasma-etch optimization. We also discuss how the optimization and removal of the buried defects impacts both the process window and pitch multiplication, facilitates measurement of the pattern roughness rectification, and demonstrate hard-mask open within a back-end-of-line integration flow. Finally, since graphoepitaxy has intrinsic benefits in terms of design flexibility when compared to chemoepitaxy, we highlight our initial investigations on implementing high-chi block copolymer patterning using multiple graphoepitaxy flows to realize sub-20 nm pitch line-space patterns and discuss the benefits of using high-chi block copolymers for roughness reduction.
- Published
- 2014
29. Use of Fenton's Reagent for the Degradation of TCE in Aqueous Systems and Soil Slurries
- Author
-
Katherine R. Weeks, Nihar Mohanty, and Clifford J. Bruell
- Subjects
chemistry.chemical_compound ,Aqueous solution ,chemistry ,Environmental remediation ,Soil organic matter ,Environmental chemistry ,Slurry ,General Earth and Planetary Sciences ,Hydroxyl radical ,Hydrogen peroxide ,Soil contamination ,Fenton's reagent - Abstract
Fenton's reaction is comprised of hydrogen peroxide (H2O2) catalyzed by iron, producing the hydroxyl radical (·OH), a strong oxidant. ·OH in turn may react with H2O2 and iron and is capable of destroying a wide range of organic contaminants. In this laboratory study, Fenton's reaction was observed in aqueous and soil slurry systems using trichloroethylene (TCE) as the target contaminant, with the goal of maximizing TCE degradation while minimizing H2O2 degradation. Fenton's reaction triggers a complex matrix of reactions involving ·OH, H2O2, iron, TCE, and soil organics. In soil slurries with a high fraction of organic carbon (fOC), iron tends to sorb to soil organics and/or particles. In aqueous systems the optimal ratio of H2O2:Fe2+:TCE to degrade TCE in a timely fashion, minimize costs, and minimize H2O2 degradation is 300 mg/L: 25 mg/L: 60 mg/L (19:1:1 molar ratio), while soil slurries with a fOC up to approximately 1% and a soil:water ratio of 1:5 (weight ratio) require about ten times the amount of ...
- Published
- 2000
30. A New Family of Drosophila Balancer Chromosomes With a w− dfd-GMR Yellow Fluorescent Protein Marker
- Author
-
Heeren Patel, Sarah M. Paul, Nihar Mohanty, Gitanjali Sivasubramaniam, Marcus H. Yu, Guy Tanentzapf, Tien Le, Victoria M. Wu, Matthew Slovitt, Zhiguo Liang, and Greg J. Beitel
- Subjects
Genetic Markers ,Yellow fluorescent protein ,Molecular Sequence Data ,Chromosomes ,Bacterial Proteins ,Genetics ,medicine ,Animals ,Drosophila Proteins ,Cell Nucleus ,Homeodomain Proteins ,biology ,fungi ,Balancer chromosome ,Note ,biology.organism_classification ,Molecular biology ,Fluorescence ,Transport protein ,Luminescent Proteins ,Protein Transport ,Cell nucleus ,Drosophila melanogaster ,Enhancer Elements, Genetic ,medicine.anatomical_structure ,Genetic marker ,biology.protein ,Drosophila Protein - Abstract
We report new w− fluorescent balancers scorable from stage 13 through adulthood that bear a nuclear-localized yellow fluorescent protein marker directly driven by dfd and GMR enhancer elements. The utility of this marker is enhanced by identification of an anti-GFP/yellow fluorescent protein (YFP) serum that is compatible with heat fixation.
- Published
- 2006
31. Fabrication of 28nm pitch Si fins with DSA lithography
- Author
-
Mark Somervell, Gerard M. Schmid, Jeff J. Xu, Akiteru Ko, Richard A. Farrell, Vidhya Chakrapani, Nihar Mohanty, Moshe Preil, Benjamen M. Rathsack, David Hetzer, Chanro Park, and Michael Cicoria
- Subjects
Fabrication ,Fin ,law ,Computer science ,Process (engineering) ,Electronic engineering ,Nanotechnology ,Photolithography ,Throughput (business) ,Lithography ,law.invention - Abstract
Directed Self-Assembly (DSA), as an extension of current state-of-the-art photolithography, has demonstrated the capability for patterning with resolution and cost effectiveness beyond the capability of other techniques. Previous studies of DSA have reported encouraging benchmarks in defect density and throughput capability for the patterning step, and such results provide a foundation for our ongoing efforts to integrate the DSA patterning step into a robust process for fabricating device layers. Here we provide a status report on the integration of two chemoepitaxy DSA patterning methods for the fabrication of 28nm pitch Si fin arrays. In addition to the requirements for a robust pattern transfer process, it is also important to understand the pattern design limitations that are associated with DSA. We discuss some of the challenges and opportunities associated with developing efficient device designs that take advantage of the capabilities of DSA.
- Published
- 2013
32. Nanotomy-based production of transferable and dispersible graphene nanostructures of controlled shape and size
- Author
-
Ashvin Nagaraja, David S. Moore, Zhi Ping Xu, Nihar Mohanty, Alfredo Alexander Rodriguez, T. S. Sreeprasad, and Vikas Berry
- Subjects
Multidisciplinary ,Materials science ,Nanostructure ,Graphene ,law ,Dispersity ,General Physics and Astronomy ,Nanotechnology ,General Chemistry ,Pyrolytic carbon ,Exfoliation joint ,General Biochemistry, Genetics and Molecular Biology ,law.invention - Abstract
Because of the edge states and quantum confinement, the shape and size of graphene nanostructures dictate their electrical, optical, magnetic and chemical properties. The current synthesis methods for graphene nanostructures do not produce large quantities of graphene nanostructures that are easily transferable to different substrates/solvents, do not produce graphene nanostructures of different and controlled shapes, or do not allow control of GN dimensions over a wide range (up to 100 nm). Here we report the production of graphene nanostructures with predetermined shapes (square, rectangle, triangle and ribbon) and controlled dimensions. This is achieved by diamond-edge-induced nanotomy (nanoscale-cutting) of graphite into graphite nanoblocks, which are then exfoliated. Our results show that the edges of the produced graphene nanostructures are straight and relatively smooth with an I(D)/I(G) of 0.22-0.28 and roughness1 nm. Further, thin films of GN-ribbons exhibit a bandgap evolution with width reduction (0, 10 and ~35 meV for 50, 25 and 15 nm, respectively).
- Published
- 2011
33. Synthesis and characterization of amphiphilic reduced graphene oxide with epoxidized methyl oleate
- Author
-
Keith L. Hohn, T. S. Sreeprasad, Yonghui Li, Xiuzhi Susan Sun, Nam Hoon Kim, B. Kollbe Ahn, Jonggeun Sung, Nihar Mohanty, Vikas Berry, Phong Nguyen, Myles Ikenberry, and Stefan Kraft
- Subjects
Materials science ,Polymers ,Polyesters ,Oxide ,Oleic Acids ,Chemistry Techniques, Synthetic ,Redox ,law.invention ,chemistry.chemical_compound ,law ,Amphiphile ,Organic chemistry ,General Materials Science ,Lactic Acid ,Methyl oleate ,Graphene ,Mechanical Engineering ,Oxides ,Characterization (materials science) ,chemistry ,Chemical engineering ,Mechanics of Materials ,Polymer composites ,Epoxy Compounds ,Graphite ,Hydrophobic and Hydrophilic Interactions ,Oxidation-Reduction - Abstract
Amphiphilic reduced graphene oxide is obtained by oleo-functionalization with epoxidized methyl oleate (renewable feedstock) using a green process. The excellent diverse solvent-dispersivity of the oleo-reduced amphiphilic graphene and its reduction chemistry are confirmed in this study. Oleo-reduction of amphiphilic graphene is amenable to industrially viable processes to produce future graphene-based polymer composites and systems.
- Published
- 2011
34. High-throughput, ultrafast synthesis of solution- dispersed graphene via a facile hydride chemistry
- Author
-
Ashvin Nagaraja, Nihar Mohanty, Jose Armesto, and Vikas Berry
- Subjects
Materials science ,Intercalation (chemistry) ,Nanotechnology ,Graphite oxide ,Chemical vapor deposition ,Microscopy, Atomic Force ,Spectrum Analysis, Raman ,law.invention ,Biomaterials ,Graphite intercalation compound ,chemistry.chemical_compound ,Highly oriented pyrolytic graphite ,Electricity ,law ,Ballistic conduction ,Spectroscopy, Fourier Transform Infrared ,General Materials Science ,Graphite ,Graphene ,General Chemistry ,Chemistry, Inorganic ,Solutions ,chemistry ,Biotechnology ,Hydrogen - Abstract
Graphene is a single-atom-thick two-dimensional macromolecule with sp-bound carbon atoms arranged in a honeycomb lattice. Recently, graphene has emerged as an attractive candidate for several applications, including ultrafast nanoelectronic devices, tunable spintronics, ultracapacitors, transparent conducting electrodes, single-molecule chemical sensors, ultrasensitive biodevices, and nanomechanical devices. These applications have evolved from its atypical properties, such as weakly scattered ballistic transport of charge carriers behaving as massless fermions at room temperature, magneto-sensitive transport, tunable bandgap, quantum Hall effect at room temperature, tunable optical transitions, exceptional mechanical strength, megahertz characteristic frequency, carrier collimation, and ultrahigh stiffness. Graphene can be 1) synthesized on-substrate, 2) deposited on-substrate via mechanical processes, or 3) deposited onsubstrate from solution. On-substrate synthesis includes hightemperature (>1000 8C) epitaxial growth on SiC, ruthenium or chemical vapor deposition on nickel and copper, while mechanical deposition includes adhesive-tape exfoliation of highly oriented pyrolytic graphite (HOPG) and the ensuing transfer. The third process, which is based on onsubstrate deposition from a graphene suspension, has several advantages including the large-scale production of reduced graphene oxide (RGO) and easy-to-apply chemical and physical manipulations for functionalization and directed deposition. Graphene suspension synthesis methods include 1) p–p intercalation or graphite intercalation compound (GIC)-based exfoliation of graphite flakes into graphene sheets, and 2) in-solution reduction of graphite oxide prepared by Hummers method with hydrazine. The p–p intercalation and GIC-based methods produce highquality graphene; however, the yield is low with relatively low stability of the graphene solution, in which the graphene sheets have a tendency to settle down. The graphene suspension
- Published
- 2009
35. Influences of carbonate and chloride ions on persulfate oxidation of trichloroethylene at 20 degrees C
- Author
-
Zih-Sin Wang, Chenju Liang, and Nihar Mohanty
- Subjects
Environmental Engineering ,Trichloroethylene ,Environmental remediation ,Inorganic chemistry ,Alkalinity ,Carbonates ,Chloride ,Sodium persulfate ,chemistry.chemical_compound ,Chlorides ,Waste Management ,Peroxydisulfate ,medicine ,Environmental Chemistry ,Waste Management and Disposal ,Chemistry ,Sulfates ,Temperature ,Persulfate ,Pollution ,Sodium Compounds ,In situ chemical oxidation ,Oxidation-Reduction ,Water Pollutants, Chemical ,medicine.drug - Abstract
Application of in situ chemical oxidation (ISCO) involves application of oxidants to contaminants such as trichloroethylene (TCE) in soil or groundwater in place. Successful application of ISCO at a hazardous waste site requires understanding the scavenging reactions that could take place at the site to better optimize the oxidation of target contaminants and identification of site conditions where ISCO using persulfate may not be applicable. Additionally, estimation of the oxidant dose at a site would need identification of groundwater constituents such as alkalinity and chlorides that may scavenge radicals and therefore use up the oxidant that is targeted for the contaminant(s). The objective of this study was to investigate the influence of various levels of chloride and carbonates on persulfate oxidation of TCE at 20 degrees C under controlled conditions in a laboratory. Based on the results of the laboratory experiments, both chloride and alkalinity were shown to have scavenging effects on the rate of oxidation of TCE. It was found that at a neutral pH, persulfate oxidation of TCE was not affected by the presence of bicarbonate/carbonate concentrations within the range of 0-9.20 mM. However, the TCE degradation rate was seen to reduce with an increase in the level of carbonate species and at elevated pHs. TCE degradation in the presence of chlorides revealed no effect on the degradation rate especially at chloride levels below 0.2 M. However, at chloride levels greater than 0.2 M, TCE degradation rate was seen to reduce with an increase in the chloride ion concentration. Prior to application of persulfate as an oxidant, a site should be screened for the presence of scavengers to evaluate the potential of meeting target cleanup goals within a desirable timeframe at the site.
- Published
- 2006
36. Impermeable Graphenic Encasement of Bacteria.
- Author
-
Nihar Mohanty, Monica Fahrenholtz, Ashvin Nagaraja, Daniel Boyle, and Vikas Berry
- Subjects
- *
PERMEABILITY , *BACTERIA , *TRANSMISSION electron microscopy , *ABSORPTION , *VOLUMETRIC analysis , *ELECTROSTATICS , *ELECTRON beams , *LATTICE theory - Abstract
Transmission electron microscopy (TEM) of hygroscopic, permeable, and electron-absorbing biological cells has been an important challenge due to the volumetric shrinkage, electrostatic charging, and structural degradation of cells under high vacuum and fixed electron beam.1−3Here we show that bacterial cells can be encased within a graphenic chamber to preserve their dimensional and topological characteristics under high vacuum (10−5Torr) and beam current (150 A/cm2). The strongly repelling π clouds in the interstitial sites of graphene’s lattice4reduces the graphene-encased-cell’s permeability5from 7.6−20 nm/s to 0 nm/s. The C−C bond flexibility5,6enables conformal encasement of cells. Additionally, graphene’s high Young’s modulus6,7retains cell’s structural integrity under TEM conditions, while its high electrical8and thermal conductivity9significantly abates electrostatic charging. We envision that the graphenic encasement approach will facilitate real-time TEM imaging of fluidic samples and potentially biochemical activity. [ABSTRACT FROM AUTHOR]
- Published
- 2011
- Full Text
- View/download PDF
37. Hydroxypropyl--Cyclodextrin-Mediated Iron-Activated Persulfate Oxidation of Trichloroethylene and Tetrachloroethylene.
- Author
-
Chenju Liang, Chiu-Fen Huang, Nihar Mohanty, Chih-Jen Lu, and Rama Mohan Kurakalva
- Published
- 2007
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.