106 results on '"Rao Varanasi"'
Search Results
2. Unravelling the Mechanism behind Charge Reversal at Silica Nanoparticle–Model Cell Membrane Interfaces
- Author
-
Preeti Gahtori, Akriti Mishra, Srinivasa Rao Varanasi, and Ravindra Pandey
- Subjects
Materials Chemistry ,Physical and Theoretical Chemistry ,Surfaces, Coatings and Films - Published
- 2023
- Full Text
- View/download PDF
3. Dye-induced photoluminescence quenching of quantum dots
- Author
-
Saleem Al-Maskari, Abey Issac, Srinivasa Rao Varanasi, Richard Hildner, R. G. Sumesh Sofin, A. Ramadan Ibrahim, and Osama K. Abou-Zied
- Subjects
General Physics and Astronomy ,Physical and Theoretical Chemistry - Abstract
Investigating the role of the photoluminescence (PL) lifetime and quantum confinement of photoexcited charge carriers in heavy metal free core-shell QDs on PL quenching due to defect sites caused by dye adsorption on the QDs’ surface.
- Published
- 2023
- Full Text
- View/download PDF
4. Spectral Response of Interfacial Water at Different Lipid Monolayer Interfaces upon Interaction with Charged Gold Nanoparticles
- Author
-
Preeti Gahtori, Ravindra Pandey, and Srinivasa Rao Varanasi
- Subjects
General Energy ,Materials science ,Chemical engineering ,Colloidal gold ,Spectral response ,Physical and Theoretical Chemistry ,Lipid monolayer ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2021
- Full Text
- View/download PDF
5. Broadband Colossal Dielectric Constant in the Superionic Halide RbAg4I5: Role of Intercluster Ag+ Diffusion
- Author
-
Shidaling Matteppanavar, Paribesh Acharyya, Swapan K. Pati, Tanmoy Ghosh, Srinivasa Rao Varanasi, Kanishka Biswas, Premakumar Yanda, A. Sundaresan, Dirtha Sanyal, and Raju K. Biswas
- Subjects
Materials science ,Condensed matter physics ,Diffusion ,Physics::Optics ,Halide ,02 engineering and technology ,Dielectric ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Energy storage ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Condensed Matter::Materials Science ,General Energy ,Broadband ,Dielectric loss ,Physical and Theoretical Chemistry ,0210 nano-technology ,High-κ dielectric - Abstract
Materials with ultrahigh dielectric constants and a low value of dielectric loss are important for energy storage and electronic devices. Traditionally, high dielectric constant is found in ferroel...
- Published
- 2020
- Full Text
- View/download PDF
6. Thermodynamics of translational and rotational dynamics of C9 hydrocarbons in the pores of zeolite-beta
- Author
-
Osama H.S. Al-Bahri, Bhaskarjyoti Borah, Mohammed Elzain, and Srinivasa Rao Varanasi
- Subjects
Zeolites ,Materials Chemistry ,Thermodynamics ,Benzene ,Molecular Dynamics Simulation ,Physical and Theoretical Chemistry ,Hydrocarbons, Aromatic ,Computer Graphics and Computer-Aided Design ,Hydrocarbons ,Spectroscopy - Abstract
There has been a growing interest in the separation of aromatic hydrocarbon molecules from the petroleum stream using zeolite-based technologies. This led to numerous experimental and molecular simulation studies of the structural and dynamical properties of aromatic hydrocarbons under the confinement of microporous materials like zeolites. The understanding of the behavior of the isomers of the trimethylbenzene under confinement is crucial for their separation and purification from industrial streams. Here, we investigate the translational and rotational dynamics and associated thermodynamics of three isomers of trimethyl benzene, namely, 1,2,3-trimethyl benzene (1,2,3-TMB), 1,2,4-trimethyl benzene (1,2,4-TMB), and 1,3,5-trimethylbenzene (1,3,5-TMB) under the confinement of zeolite-beta (BEA) using molecular dynamics (MD) simulations. The trends in the diffusion coefficients of the TMB isomers calculated from our MD simulation data are in good agreement with the data already available in the literature. Analysis of dynamics and associated thermodynamic properties indicate that 1,2,4-TMB is translationally more facile than the other two isomers. The rotational motion of TMB isomers is largely anisotropic and it is relatively more significant for both 1,2,4-TMB and 1,3,5-TMB. The thermodynamic properties reveal that the distinguishability in the dynamic properties among these three isomers is essentially caused by entropy. These results are not only critical to engineer the separation process of TMB isomers across the zeolite beds but also to understand the different catalytic processes such as trans-alkylation, conversion, cracking etc.
- Published
- 2022
- Full Text
- View/download PDF
7. Effect of Succinonitrile on Ion Transport in PEO-based Lithium Ion Battery Electrolytes
- Author
-
Sipra Mohapatra, Shubham Sharma, Aman Sriperumbuduru, Srinivasa Rao Varanasi, and Santosh Mogurampelly
- Subjects
Condensed Matter::Soft Condensed Matter ,Condensed Matter - Materials Science ,Statistical Mechanics (cond-mat.stat-mech) ,General Physics and Astronomy ,Soft Condensed Matter (cond-mat.soft) ,Materials Science (cond-mat.mtrl-sci) ,FOS: Physical sciences ,Condensed Matter - Soft Condensed Matter ,Physical and Theoretical Chemistry ,Condensed Matter - Statistical Mechanics - Abstract
We report the ion transport mechanisms in succinonitrile (SN) loaded solid polymer electrolytes containing polyethylene oxide (PEO) and dissolved lithium bis(trifluoromethane)sulphonamide (LiTFSI) salt using molecular dynamics simulations. We investigated the effect of temperature and loading of SN on ion transport and relaxation phenomenon in PEO-LiTFSI electrolytes. It is observed that SN increases the ionic diffusivities in PEO-based solid polymer electrolytes and makes them suitable for battery applications. Interestingly, the diffusion coefficient of TFSI ions is an order of magnitude higher than the diffusion coefficient of lithium ions across the range of temperatures and loadings integrated. By analyzing different relaxation timescales and examining the underlying transport mechanisms in SN-loaded systems, we find that the diffusivity of TFSI ions correlates excellently with the Li-TFSI ion-pair relaxation timescales. In contrast, our simulations predict distinct transport mechanisms for Li-ions in SN-loaded PEO-LiTFSI electrolytes. Explicitly, the diffusivity of lithium ions cannot be uniquely determined by the ion-pair relaxation timescales but additionally depends on the polymer segmental dynamics. On the other hand, the SN loading induced diffusion coefficient at a given temperature does not correlate with either the ion-pair relaxation timescales or the polymer segmental relaxation timescales., Comment: 30 pages, 11 figures, 3 tables
- Published
- 2021
- Full Text
- View/download PDF
8. Defect mitigation and characterization in silicon hardmask materials
- Author
-
Douglas Guerrero, Glenn Dado, Virgil Briggs, Shyam Paudel, Nick Brakensiek, Levi Gildehaus, Tim Limmer, Michael Mesawich, Vineet Alexander, Mona Bavarian, Rao Varanasi, and Lucia D'Urzo
- Subjects
Plasma etching ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,Characterization (materials science) ,law.invention ,chemistry ,law ,Particle ,Optoelectronics ,Photolithography ,business ,Lithography ,Filtration - Abstract
The silicon hardmask (Si-HM) is one of the key materials used in multilayer lithography for pattern transfer to a substrate using a fluorinated plasma etching process. Manufacturing of devices with smaller feature sizes introduces new challenges in defect control of all the critical layers, including the Si-HM layer used in photolithography. One of the major challenges of Si-HM materials includes intrinsic defect formations, which can be exacerbated by the presence of foreign contaminants such as soft and hard particles, organics, and metal-ionic contaminants. These contaminants are also known to induce defects by interfering with the plasma etch processes used in advanced patterning technologies. The contaminants can range from microns to angstroms in size. The identification and characterization of the defect adders is important to develop filtration methods capable of minimizing the number of on-wafer defects and consequently improving the quality. In this study, metal contaminants, liquid particle count and on-wafer defects of Si- HMs and filtration removal rates are monitored to determine the effect of filter type, pore size, media morphology, and cleanliness on filtration performance. 5-nm PTFE NTD2 filter having proprietary surface treatment used in this study shows lowest defect count.
- Published
- 2020
- Full Text
- View/download PDF
9. Defectivity modulation in EUV resists through advanced filtration technologies
- Author
-
Philippe Foubert, Toru Umeda, Rao Varanasi, Atsushi Hattori, Waut Drent, Jelle Vandereyken, Lucia D'Urzo, Takehito Mizuno, Rajan Beera, and Amarnauth Singh
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Surface finish ,law.invention ,Resist ,Modulation ,law ,Optoelectronics ,business ,Lithography ,Critical dimension ,Immersion lithography ,Filtration - Abstract
The availability of EUV lithography is the mainstream for resolving critical dimension of the advanced technology nodes, currently in the range of 18nm and below [1]. The first insertion of EUVL into manufacturing utilizes chemically amplified resist (CAR) [2]. The filtration of CAR, both at bulk and point-of-use (POU), has already demonstrated in ArF and ArF immersion lithography to play a significant role for microbridges reduction essentially by removing hard particle and gels [3-6]. With respect to ArFi, EUV is bringing new challenges not only for the achievement of the required line roughness, sensitivity and resolution, but also for the need of a substantial reduction of defects such as line collapse, microbridges and broken lines. In this study, it demonstrated the ability of utilizing novel POU filtration to modulate microbridges and achieving superior start-up behavior, both crucial for enabling EUVL at high volume manufacturing. Different POU filters were tested at the imec EUV cluster comprised of TEL CleanTrack LITHIUS Pro-Z and ASML NXE:3400B. The start-up performance, assessed by measuring defects down to 19nm size as a function of the flushing solvent volume, has shown the fast achievement of attaining a stable baseline. Lithography experiments targeting reduction of on-wafer defectivity, carried out with commercially available photoresists, have consistently shown a substantial reduction of after resist development (ADI) and after resist etch (AEI) microbridges on a 16nm L/S test vehicles. The effect of membrane physical intrinsic designs and novel cleaning of POU devices are discussed.
- Published
- 2020
- Full Text
- View/download PDF
10. Design and Development of Compact Conformal Microstrip Antenna at S-Band
- Author
-
Jahagirdar, Srinivasa Rao Varanasi, and Girish Kumar
- Subjects
Physics ,HFSS ,Mechanical Engineering ,General Chemical Engineering ,Acoustics ,020208 electrical & electronic engineering ,Conformal antenna ,Astrophysics::Instrumentation and Methods for Astrophysics ,Biomedical Engineering ,General Physics and Astronomy ,020206 networking & telecommunications ,Conformal map ,02 engineering and technology ,Radome ,Computer Science Applications ,law.invention ,Azimuth ,Microstrip antenna ,law ,0202 electrical engineering, electronic engineering, information engineering ,S band ,Electrical and Electronic Engineering ,Antenna (radio) ,Computer Science::Information Theory - Abstract
A compact microstrip antenna at S-Band is described in this work. This paper presents the theoretical and experimental investigations on conformal 90° Sectoral circular microstrip antenna using shorting wall. The performance of antenna characteristics is obtained using FEM based HFSS software and the computed results are verified by measurement. Here, microstrip antenna is loaded with shorting wall to achieve frequency reduction of about 75 per cent. The antenna characteristics were studied with radome surrounded by metallic ring. The radiation characteristics of antenna mounted on vehicle body is carried out. The antenna has moderate gain and wider coverage in roll plane as well as azimuth plane and may be used as small, compact antenna for onboard telemetry applications.
- Published
- 2018
- Full Text
- View/download PDF
11. High Interfacial Barriers at Narrow Carbon Nanotube–Water Interfaces
- Author
-
Yashonath Subramanian, Suresh K. Bhatia, and Srinivasa Rao Varanasi
- Subjects
Materials science ,Slowdown ,02 engineering and technology ,Surfaces and Interfaces ,Carbon nanotube ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,0104 chemical sciences ,law.invention ,Condensed Matter::Materials Science ,Molecular dynamics ,law ,Chemical physics ,Electrochemistry ,Molecule ,General Materials Science ,0210 nano-technology ,Spectroscopy - Abstract
Water displays anomalous fast diffusion in narrow carbon nanotubes (CNTs), a behavior that has been reproduced in both experimental and simulation studies. However, little is reported on the effect of bulk water-CNT interfaces, which is critical to exploiting the fast transport of water across narrow carbon nanotubes in actual applications. Using molecular dynamics simulations, we investigate here the effect of such interfaces on the transport of water across arm-chair CNTs of different diameters. Our results demonstrate that diffusion of water is significantly retarded in narrow CNTs due to bulk regions near the pore entrance. The slowdown of dynamics can be attributed to the presence of large energy barriers at bulk water-CNT interfaces. The presence of such intense barriers at the bulk-CNT interface arises due to the entropy contrast between the bulk and confined regions, with water molecules undergoing high translational and rotational entropy gain on entering from the bulk to the CNT interior. The intensity of such energy barriers decreases with increase in CNT diameter. These results are very important for emerging technological applications of CNTs and other nanoscale materials, such as in nanofluidics, water purification, nanofiltration, and desalination, as well as for biological transport processes.
- Published
- 2018
- Full Text
- View/download PDF
12. Porphyrin–graphene oxide frameworks for long life sodium ion batteries
- Author
-
Suresh K. Bhatia, Nanjundan Ashok Kumar, Xiu Song Zhao, Moorthy Suresh, Dongfang Yang, Srinivasa Rao Varanasi, and Rohit Ranganathan Gaddam
- Subjects
Materials science ,Renewable Energy, Sustainability and the Environment ,Graphene ,Oxide ,Nanotechnology ,02 engineering and technology ,General Chemistry ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Electrochemistry ,01 natural sciences ,Porphyrin ,0104 chemical sciences ,law.invention ,Anode ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,law ,Electrode ,General Materials Science ,Density functional theory ,0210 nano-technology ,Current density - Abstract
Herein, we demonstrate that a porphyrin interspersed graphene-oxide framework with a d-spacing of ∼7.67 A can significantly enhance the cycling stability of graphene-based anodes in sodium-ion batteries. These robust electrodes can deliver a reversible capacity of ∼200 mA h g−1 at a current density of 100 mA g−1 in the 20th cycle with negligible capacity fading over 700 cycles. In addition to the superior rate tolerance, the specific capacity was stable even after a resting time of one month. The excellent performance may be nested in the larger interlayer spacing, and rich nitrogen content along with the defect sites available for sodium interaction. Experimental studies and density functional theory calculations presented in this work give insights into the structure–property relationship of porphyrin–graphene oxide frameworks and their electrochemical performance.
- Published
- 2017
- Full Text
- View/download PDF
13. Enhanced Cleaning for the Point-of-Use Filter and its Effectiveness on Wafer Defectivity in Immersion ArF Lithography Process
- Author
-
Lawrence Johnson, Rao Varanasi, Michael Mesawich, Toru Umeda, and Patrick Connor
- Subjects
Materials science ,Polymers and Plastics ,business.industry ,Organic Chemistry ,02 engineering and technology ,Lithography process ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Materials Chemistry ,Immersion (virtual reality) ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Published
- 2017
- Full Text
- View/download PDF
14. 45-nm silicon-on-insulator CMOS technology integrating embedded DRAM for high-performance server and ASIC applications.
- Author
-
Subramanian S. Iyer, Greg G. Freeman, Colin Brodsky, Anthony I. Chou, Dan Corliss, Sameer H. Jain, Naftali E. Lustig, Vincent McGahay, Shreesh Narasimha, James P. Norum, Karen A. Nummy, Paul C. Parries, Sujatha Sankaran, Chris D. Sheraw, Pushkara Rao Varanasi, Geng Wang, Mary E. Weybright, Xiaojun Yu, Emmanuel Crabbe, and Paul D. Agnello
- Published
- 2011
- Full Text
- View/download PDF
15. Optimal Electrode Mass Ratio in Nanoporous Carbon Electrochemical Supercapacitors
- Author
-
Srinivasa Rao Varanasi and Suresh K. Bhatia
- Subjects
Canonical ensemble ,Supercapacitor ,Analytical chemistry ,02 engineering and technology ,Electrolyte ,Microporous material ,Mass ratio ,010402 general chemistry ,021001 nanoscience & nanotechnology ,7. Clean energy ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,General Energy ,chemistry ,Chemical physics ,Ionic liquid ,Electrode ,Physical and Theoretical Chemistry ,0210 nano-technology - Abstract
Electrode mass ratio is one of the important parameters that influence capacitance, cycle life, and operating voltage of an electrochemical supercapacitor; however, molecular level understanding of the consequences of inappropriate electrode mass ratio on the overall performance of a supercapacitor is still lacking. Here, we performed constant voltage Gibbs ensemble based grand canonical Monte Carlo simulations on different combinations of microporous carbon electrodes of known atomic structure, and room temperature ionic liquid as electrolyte. Our results indicate that the optimum mass ratio depends not only on the symmetry of electrodes, but also on the size symmetry of the respective counterions. There is an enhancement of 5% in capacitance from the usual mass ratio of 1.0 to the optimum of ∼0.7–0.8; however, the imbalance in the masses of electrodes causes overloading of the lighter electrode, causing excluded volume interactions to dominate. We anticipate that highly repulsive interactions and large ...
- Published
- 2016
- Full Text
- View/download PDF
16. Sodium ion storage in reduced graphene oxide
- Author
-
Srinivasa Rao Varanasi, Xiu Song Zhao, Suresh K. Bhatia, Nanjundan Ashok Kumar, Dongfang Yang, and Rohit Ranganathan Gaddam
- Subjects
Battery (electricity) ,Materials science ,Graphene ,General Chemical Engineering ,Inorganic chemistry ,Oxide ,Sodium-ion battery ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Anode ,law.invention ,Ion ,chemistry.chemical_compound ,Chemical engineering ,chemistry ,law ,Electrochemistry ,0210 nano-technology ,Current density ,Graphene oxide paper - Abstract
The performance of few-layered metal-reduced graphene oxide (RGO) as a negative electrode material in sodium-ion battery was investigated. Experimental and simulation results indicated that the as-prepared RGO with a large interlayer spacing and disordered structure enabled significant sodium-ion storage, leading to a high discharge capacity. The strong surface driven interactions between sodium ions and oxygen-containing groups and/or defect sites led to a high rate performance and cycling stability. The RGO anode delivered a discharge capacity of 272 mA h g−1 at a current density of 50 mA g−1, a good cycling stability over 300 cycles and a superior rate capability. The present work provides new insights into optimizing RGOs for high-performance and low-cost sodium-ion batteries.
- Published
- 2016
- Full Text
- View/download PDF
17. Highly Efficient and Thermally Stable Second-Order Nonlinear Optical Chromophores and Electrooptic Polymers
- Author
-
Jen, Alex K.-Y., primary, Rao, Varanasi Pushkara, additional, and Chandrasekhar, Jayaraman, additional
- Published
- 1995
- Full Text
- View/download PDF
18. Characterization of sub-10 nm filter cleanliness by electrophoretic purification and analysis
- Author
-
Glen Walter Wildermuth, Arthur John Ackermann, Rao Varanasi, and Makonnen Payne
- Subjects
Materials science ,business.industry ,Process (computing) ,law.invention ,Characterization (materials science) ,Electrophoresis ,law ,Filter (video) ,Particle ,Microelectronics ,Instrumentation (computer programming) ,business ,Process engineering ,Filtration - Abstract
The cleanliness and particle removal requirements on filtration for the semiconductor industry continue to increase in order to keep pace with the development of sub-10nm devices. However, there has been a lack of available instrumentation to directly measure the particles and low levels of "killer" defects that are detrimental to final device function. To address this issue, an electrophoretic particle capture device has been used in order to test its ability to capture and characterize sub-10 nm particles. Using an electrode, a variable electric field is applied to a process stream that results in the capture and subsequent release of particles. The release of particle creates a measurable current that can be correlated to the amount of contamination in a system. In this case we apply the technique to the evaluation of a cleaning method for next generation filtration products developed specifically for the microelectronics industry. We are able to demonstrate that the cleaning method used has a significant impact on the cleanliness of the filter and that the new technique has the ability to effectively characterize its state of cleanliness.
- Published
- 2018
- Full Text
- View/download PDF
19. Complementary Effects of Pore Accessibility and Decoordination on the Capacitance of Nanoporous Carbon Electrochemical Supercapacitors
- Author
-
Srinivasa Rao Varanasi, Amir Hajiahmadi Farmahini, and Suresh K. Bhatia
- Subjects
chemistry.chemical_classification ,Supercapacitor ,Materials science ,Coordination number ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Electrolyte ,Microporous material ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion ,General Energy ,chemistry ,Chemical physics ,Physical and Theoretical Chemistry ,Counterion ,0210 nano-technology ,Carbon - Abstract
We illustrate here the interplay of decoordination and accessible pore volume in nanosized supercapacitors, using constant voltage Gibbs ensemble based grand canonical Monte Carlo simulations for three different microporous carbon electrodes of known atomistic structure and 1-ethyl-3-methylimidazolium boron tetrafluoride (EMI-BF4) as electrolyte. We demonstrate that the counterion coordination number decreases with pore size, and this trend is similar for the electrodes considered, despite their different structures, suggesting that the pore shape is less important to this relation, at least for the carbons examined here. It is seen that ions with low coordination and/or completely decoordinated ions induce maximum charge, while those with higher coordination induce less, in accordance with recent MD simulation results which demonstrate that ions in high degree of confinement (DOC) induce more charge than those in low DOC. Our results indicate that electrodes with different pore volumes can exhibit simila...
- Published
- 2015
- Full Text
- View/download PDF
20. Capacitance Optimization in Nanoscale Electrochemical Supercapacitors
- Author
-
Srinivasa Rao Varanasi and Suresh K. Bhatia
- Subjects
Supercapacitor ,Canonical ensemble ,Graphene ,Chemistry ,Monte Carlo method ,Nanotechnology ,02 engineering and technology ,Electrolyte ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,Capacitance ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Molecular dynamics ,General Energy ,law ,Chemical physics ,Density functional theory ,Physical and Theoretical Chemistry ,0210 nano-technology - Abstract
We perform constant voltage Gibbs ensemble based grand canonical Monte Carlo simulations for nanosized supercapacitors comprising graphene slit electrodes in symmetric and asymmetric electrolytes. Our simulations demonstrate that external electrolyte at the electrode surface can be exploited to positively influence the structure and packing of that inside the slit, when the system is engineered to allow these to interact. Oscillatory dependence of capacitance on slit-pore size, seen in recent results from molecular dynamics simulation and density functional theory, is observed also in our Monte Carlo simulations. A detailed analysis suggests that maximum in capacitance occurs in subnanometre pores because of the interference between internal double layers (largely the Helmholtz parts) on the opposite sides of the slit, expelling the co-ions; and that the oscillatory character of capacitance with pore width is due to relative changes in counterion and co-ion populations with pore width, also dictated by th...
- Published
- 2015
- Full Text
- View/download PDF
21. Designing organic NLO materials
- Author
-
Drost, Kevin J., Jen, Alex K-Y., and Rao, Varanasi Pushkara
- Subjects
Nonlinear optics -- Research ,Polyimides -- Analysis ,Chemicals, plastics and rubber industries ,Chemistry - Abstract
Stable and reactive nonlinear optical materials are synthesized by reacting heteroatomic chromophores with polymer backbone. Polymeric materials are now being used for nonlinear optical devices because of their low costs. A typical NLO material includes an electron-accepting group, a bridge and an eletron-donating group. Spectral analysis of a NLO material show that the charge-transfer transition depends on stilbene skeleton and its substituents. The material could be inserted in polymeric matrices by host-guest systems, side-chain, main-chain and cross linked polymers.
- Published
- 1995
22. Advanced lithographic filtration and contamination control for 14nm node and beyond semiconductor processes
- Author
-
Patrick Connor, Rao Varanasi, Lawrence Johnson, and Michael Mesawich
- Subjects
Contamination control ,Materials science ,business.industry ,Nanotechnology ,02 engineering and technology ,Blanket ,Photoresist ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Semiconductor ,0103 physical sciences ,Wafer ,High-density polyethylene ,Nanofiltration ,0210 nano-technology ,Process engineering ,business ,Lithography - Abstract
Two versions of a specific 2nm rated filter containing filtration medium and all other components produced from high density polyethylene (HDPE), one subjected to standard cleaning, the other to specialized ultra-cleaning, were evaluated in terms of their cleanliness characteristics, and also defectivity of wafers processed with photoresist filtered through each. With respect to inherent cleanliness, the ultraclean version exhibited a 70% reduction in total metal extractables and 90% reduction in organics extractables compared to the standard clean version. In terms of particulate cleanliness, the ultraclean version achieved stability of effluent particles 30nm and larger in about half the time required by the standard clean version, also exhibiting effluent levels at stability almost 90% lower. In evaluating defectivity of blanket wafers processed with photoresist filtered through either version, initial defect density while using the ultraclean version was about half that observed when the standard clean version was in service, with defectivity also falling more rapidly during subsequent usage of the ultraclean version compared to the standard clean version. Similar behavior was observed for patterned wafers, where the enhanced defect reduction was primarily of bridging defects. The filter evaluation and actual process-oriented results demonstrate the extreme value in using filtration designed possessing the optimal intrinsic characteristics, but with further improvements possible through enhanced cleaning processes
- Published
- 2017
- Full Text
- View/download PDF
23. Structure and dynamics of cumene and 1,2,4-trimethylbenzene mixture in NaY zeolite: a molecular dynamics simulation study
- Author
-
Srinivasa Rao Varanasi and Subramanian Yashonath
- Subjects
Cumene ,Isopropyl benzene ,General Chemical Engineering ,Diffusion ,Dynamics (mechanics) ,General Chemistry ,1,2,4-Trimethylbenzene ,Condensed Matter Physics ,Thermal diffusivity ,Molecular dynamics ,chemistry.chemical_compound ,chemistry ,Modeling and Simulation ,Organic chemistry ,Physical chemistry ,General Materials Science ,Zeolite ,Information Systems - Abstract
A molecular dynamics study of 1:1 mixture of the C9 isomers, isopropyl benzene (cumene) and 1,2,4-trimethylbenzene (124TMB) (pseudocumene), in zeolite NaY is reported. Structural and dynamical properties have been computed to understand possible difficulties in the separation of these isomers. Cumene exhibits a slightly higher self-diffusivity. 124TMB encounters a larger barrier as compared with cumene at the 12-ring window during migration from one supercage to another. 124TMB has a significantly larger backscattering during rotation which may be attributed to its shape and large cross-sectional diameter as compared with cumene. Cumene has a higher rotational diffusivity. Results suggest that there is larger difference between the rotational diffusivities of the two isomers and little difference in their translational diffusivity. It may be possible to exploit this difference in separating the two isomers.
- Published
- 2014
- Full Text
- View/download PDF
24. Metal reduction at point-of-use filtration
- Author
-
Shuichi Tsuzuki, Rao Varanasi, Toru Umeda, and Shusaku Daikoku
- Subjects
Chemistry ,Hydrophilic interaction chromatography ,010401 analytical chemistry ,Cyclohexanone ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Polyvinyl alcohol ,0104 chemical sciences ,law.invention ,Solvent ,Metal ,chemistry.chemical_compound ,Membrane ,Chemical engineering ,law ,visual_art ,visual_art.visual_art_medium ,High-density polyethylene ,0210 nano-technology ,Filtration - Abstract
We explored the metal removal efficiency of Nylon 6,6 and HDPE (High Density Polyethylene) membrane based filters, in solvents of varying degree of polarity such as Cyclohexanone and 70:30 mixture of PGME (Propylene Glycol Monomethyl Ether) and PGMEA (Propylene Glycol Monomethyl Ether), In all the solvents tested, Nylon 6,6 membrane filtration was found to be significantly more effective in removing metals than HDPE membranes, regardless of their respective membrane pore sizes. Hydrophilic interaction chromatography (HILIC) mechanism was invoked to rationalize metal removal efficiency dependence on solvent hydrophobicity.
- Published
- 2016
- Full Text
- View/download PDF
25. An ultrasensitive bio-surrogate for nanoporous filter membrane performance metrology directed towards contamination control in microlithography applications
- Author
-
Eilidh Bedford, Jian Qiu, Farhan Ahmad, Rao Varanasi, Martin Smith, Barbara Mish, and Amarnauth Singh
- Subjects
Contamination control ,Materials science ,Nanoporous ,Semiconductor device fabrication ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Metrology ,law.invention ,010309 optics ,International Technology Roadmap for Semiconductors ,Membrane ,law ,0103 physical sciences ,Photolithography ,0210 nano-technology ,Lithography - Abstract
Contamination tolerances in semiconductor manufacturing processes have changed dramatically in the past two decades, reaching below 20 nm according to the guidelines of the International Technology Roadmap for Semiconductors. The move to narrower line widths drives the need for innovative filtration technologies that can achieve higher particle/contaminant removal performance resulting in cleaner process fluids. Nanoporous filter membrane metrology tools that have been the workhorse over the past decade are also now reaching limits. For example, nanoparticle (NP) challenge testing is commonly applied for assessing particle retention performance of filter membranes. Factors such as high NP size dispersity, low NP detection sensitivity, and high NP particle-filter affinity impose challenges in characterizing the next generation of nanoporous filter membranes. We report a novel bio-surrogate, 5 nm DNA-dendrimer conjugate for evaluating particle retention performance of nanoporous filter membranes. A technique capable of single molecule detection is employed to detect sparse concentration of conjugate in filter permeate, providing >1000- fold higher detection sensitivity than any existing 5 nm-sized particle enumeration technique. This bio-surrogate also offers narrow size distribution, high stability and chemical tunability. This bio-surrogate can discriminate various sub-15 nm pore-rated nanoporous filter membranes based on their particle retention performance. Due to high bio-surrogate detection sensitivity, a lower challenge concentration of bio-surrogate (as compared to other NPs of this size) can be used for filter testing, providing a better representation of customer applications. This new method should provide better understanding of the next generation filter membranes for removing defect-causing contaminants from lithography processes.
- Published
- 2016
- Full Text
- View/download PDF
26. Structure, energetics and diffusion properties of isomers of trimethyl benzene in β zeolite: Uptake and Monte Carlo simulation study
- Author
-
Vijayalakshmi Ravi Puranik, Arun M. Umarji, Subramanian Yashonath, Prakash Kumar, and Srinivasa Rao Varanasi
- Subjects
chemistry.chemical_classification ,Diffusion ,Monte Carlo method ,Energetics ,General Chemistry ,Condensed Matter Physics ,Thermal diffusivity ,Molecular sieve ,chemistry.chemical_compound ,Hydrocarbon ,chemistry ,Mechanics of Materials ,Physical chemistry ,General Materials Science ,Zeolite ,Benzene - Abstract
A Monte Carlo study along with experimental uptake measurements of 1,2,3-trimethyl benzene, 1,2,4-trimethyl benzene and 1,3,5-trimethyl benzene (TMB) in beta zeolite is reported. The TraPPE potential has been employed for hydrocarbon interaction and harmonic potential of Demontis for modeling framework of the zeolite. Structure, energetics and dynamics of TMB in zeolite beta from Monte Carlo runs reveal interesting information about the diameter, properties of these isomers on confinement. Of the three isomers, 135TMB is supposed to have the largest diameter. It is seen TraPPE with Demontis potential predicts a restricted motion of 135TMB in the channels of zeolite beta.Experimentally, 135TMB has the highest transport diffusivity whereas MID results suggest this has the lowest self diffusivity. (C) 2009 Elsevier Inc. Ail rights reserved.
- Published
- 2009
- Full Text
- View/download PDF
27. A New Breed of Wet-Developable BARC Materials
- Author
-
Kevin O'Shea, Yoshihiro Yamamoto, Jin Wuk Sung, Libor Vyklicky, Pushkara Rao Varanasi, George G. Barclay, Irene Popova, James F. Cameron, Jason A. DeSISTO, Manabu Hidano, Johan Amara, David Valeri, Vaishali R. Vohra, Greg Prokopowicz, Adam Ware, Tomoki Kurihara, Kathleen M. O'Connell, and Wu-Song Huang
- Subjects
Materials science ,Polymers and Plastics ,business.industry ,Organic Chemistry ,Process (computing) ,Nanotechnology ,Optical density ,Photoresist ,Reflectivity ,law.invention ,Anti-reflective coating ,law ,Materials Chemistry ,Optoelectronics ,Electrical performance ,Node (circuits) ,business - Abstract
A new family of materials has been developed to serve as a wet-developable bottom antireflective coating (D-BARC) for patterning levels that have a strong need to avoid dry-etch processes for BARC-open steps. Such include some implant levels, where dry-etch introduces surface damage that consequently affects the final electrical performance of a device. Other levels that might benefit from all-wet patterning are those using special substrates such as high-k metal-gate (HKMG) levels. Our design of D-BARC materials combines the unique properties of traditional BARC as well as those of a photoresist to deliver a D-BARC solution that is photoimageable in nature. It was found that isotropically developable (i.e., non-imageable) D-BARCs do not provide viable solutions that satisfy the resolution requirements of the current 32 nm technology node and provide the extendibility to future nodes. The optical properties of the D-BARC material are critical for reflectivity control but high optical density can negatively impact the imaging performance of the material. Therefore a balance is needed where the D-BARC is co-optimized with the photoresist as a system, achieves good reflectivity control, residue-free imaging and process gains.
- Published
- 2009
- Full Text
- View/download PDF
28. Water around fullerene shape amphiphiles: A molecular dynamics simulation study of hydrophobic hydration
- Author
-
A. John, Srinivasa Rao Varanasi, Jens-Uwe Sommer, and Olga Guskova
- Subjects
Quantitative Biology::Biomolecules ,Fullerene ,Properties of water ,Chemistry ,Hydrogen bond ,Inorganic chemistry ,Solvation ,General Physics and Astronomy ,Thermodynamic integration ,Condensed Matter::Soft Condensed Matter ,Molecular dynamics ,chemistry.chemical_compound ,Chemical physics ,Physics::Atomic and Molecular Clusters ,Particle ,Dewetting ,Physical and Theoretical Chemistry - Abstract
Fullerene C60 sub-colloidal particle with diameter ∼1 nm represents a boundary case between small and large hydrophobic solutes on the length scale of hydrophobic hydration. In the present paper, a molecular dynamics simulation is performed to investigate this complex phenomenon for bare C60 fullerene and its amphiphilic/charged derivatives, so called shape amphiphiles. Since most of the unique properties of water originate from the pattern of hydrogen bond network and its dynamics, spatial, and orientational aspects of water in solvation shells around the solute surface having hydrophilic and hydrophobic regions are analyzed. Dynamical properties such as translational-rotational mobility, reorientational correlation and occupation time correlation functions of water molecules, and diffusion coefficients are also calculated. Slower dynamics of solvent molecules—water retardation—in the vicinity of the solutes is observed. Both the topological properties of hydrogen bond pattern and the “dangling” –OH groups that represent surface defects in water network are monitored. The fraction of such defect structures is increased near the hydrophobic cap of fullerenes. Some “dry” regions of C60 are observed which can be considered as signatures of surface dewetting. In an effort to provide molecular level insight into the thermodynamics of hydration, the free energy of solvation is determined for a family of fullerene particles using thermodynamic integration technique.
- Published
- 2015
29. Characterization and Lithographic Performance of Silsesquioxane 193 nm Bilayer Resists
- Author
-
Hoa D. Truong, Wu-Song Huang, Mahmoud M. Khojastech, Hiroshi Ito, P. Rao Varanasi, Sean D. Burns, Mike Lercel, and Dirk Pfeiffer
- Subjects
chemistry.chemical_classification ,Materials science ,Polymers and Plastics ,Bilayer ,Organic Chemistry ,Polymer ,Quartz crystal microbalance ,Silsesquioxane ,chemistry.chemical_compound ,Silanol ,chemistry ,Resist ,Chemical engineering ,Polymer chemistry ,Materials Chemistry ,Nonaflate ,Dissolution - Abstract
Positive 193 nm bilayer resists based on polysilsesquioxane are described. Fluoroalcohol is employed as an acid group instead of carboxylic acid because of its more attractive dissolution properties. Polymers were carefully analyzed by 19F, 13C, and 29Si NMR to determine composition and to quantify residual acetyl, silanol, and Q/T. In an attempt to better understand the dissolution behavior of exposed resist films, the silsesquioxane resist polymers were partially and fully deprotected in solution with acid and their dissolution kinetics investigated by using a quartz crystal microbalance (QCM). The exposed areas of the silsesquioxane resists can have a very fast dissolution rate (Rmax) of >20,000 A/sec (or even >100,000 A/sec). Heating the fully deprotected model polymers to 150 °C did not reduce the dissolution rate much, suggesting thermal condensation of silanol end groups is insignificant. Model deprotected polymers containing triphenylsulfonium nonaflate were exposed to 254 nm radiation, baked, and subjected to QCM measurements in order to probe whether or not acid-catalyzed silanol condensation would reduce the dissolution rate. A combination of high dose and high temperature bake resulted in significant reduction of the dissolution rate in the silsesquioxane polymer containing a small trifluoroalcohol. However, the dissolution behavior of the polymer bearing a bulky norbornene hexafluoroalcohol was unaffected by exposure and bake. Chemical and development contrast curves were generated by using a thermal gradient hotplate and bake temperature effects investigated. A postexposure bake temperature effect was quite small. The process window of the silsesquioxane bilayer resists was comparable to that of a high performance commercial 193 nm single layer resist for both isolated and nested contact hole imaging, Superior performance of our silsesquioxane resist was demonstrated in patterned etch in comparison with a cycloolefin-maleic anhydride bilayer resist.
- Published
- 2005
- Full Text
- View/download PDF
30. Polymers Containing Etch Resistant Ether Protecting Groups for DUV Lithography
- Author
-
P. Rao Varanasi, Margaret C. Lawson, and George M. Jordhamo
- Subjects
chemistry.chemical_classification ,Materials science ,Polymers and Plastics ,Organic Chemistry ,Ether ,Polymer ,Ring (chemistry) ,chemistry.chemical_compound ,chemistry ,Resist ,Polymer chemistry ,Electrophile ,Materials Chemistry ,Protecting group ,Lithography ,Derivative (chemistry) - Abstract
In this paper, we have shown the importance of acid labile ether protecting groups in the design of high performance 248nm resists with significantly improved etch resistance. Using α-methylbenzyl ether protecting group, we have synthesized and studied partially protected poly(hydroxystyrene) derivative, PHS-MBE. Studies clearly pointed out that methylbenzyl ether protecting group is thermally stable and undergoes acid catalyzed deprotection leading to preferential rearranged products due to electrophilic ring substitution. Such a rearrangement is shown to provide a unique mechanism to reduce/eliminate resist shrinkage and improve lithographic performance and RIE stability.
- Published
- 2000
- Full Text
- View/download PDF
31. IBM 193nm Semiconductor Resist: Material Properties, Resist Characteristics and Lithographic Performance
- Author
-
Thomas I. Wallow, Donald C. Hofer, George M. Jordhamo, Ann Marie Mewherter, Margaret C. Lawson, Hiroshi Ito, Juliann Opitz, P. Rao Varanasi, and Robert D. Allen
- Subjects
Materials science ,Polymers and Plastics ,business.industry ,Organic Chemistry ,technology, industry, and agriculture ,Nanotechnology ,First generation ,Semiconductor ,Resist ,Materials Chemistry ,IBM ,business ,Material properties ,Absorption (electromagnetic radiation) ,Lithography ,Single layer - Abstract
Using substituted poly(norbornenes), we have developed an etch-resistant, high resolution single layer 193nm positive resist. This paper describes the optical absorption properties, oxide-etch characteristics and resolution capabilities of such a first generation IBM resist.
- Published
- 1999
- Full Text
- View/download PDF
32. Relation between the diffusivity, viscosity, and ionic radius of LiCl in water, methanol, and ethylene glycol: a molecular dynamics simulation
- Author
-
Subramanian Yashonath, Srinivasa Rao Varanasi, and Parveen Kumar
- Subjects
Void (astronomy) ,Ethylene Glycol ,Thermodynamics ,Conductivity ,Molecular Dynamics Simulation ,Thermal diffusivity ,Ion ,Diffusion ,Molecular dynamics ,Computational chemistry ,Materials Chemistry ,Physics::Chemical Physics ,Physical and Theoretical Chemistry ,Ions ,Ionic radius ,Chemistry ,Viscosity ,Methanol ,Temperature ,Water ,Hydrogen Bonding ,Surfaces, Coatings and Films ,Solvent ,Solvation shell ,Solvents ,Lithium Chloride - Abstract
A molecular dynamics (MD) investigation of LiCl in water, methanol, and ethylene glycol (EG) at 298 K is reported. Several; structural and dynamical properties of the ions as well as the solvent such as self-diffusivity, radial distribution functions, void and neck distributions, velocity autocorrelation functions, and mean residence times of solvent in the first solvation shell have been computed. The results show that the reciprocal relationship between the self-diffusivity of the ions and the viscosity is valid in almost all solvents with the exception of water. From an analysis of radial distribution functions and coordination numbers the nature of hydrogen bonding within the solvent and its influence on the void and neck distribution becomes evident. It is seen that the solvent solvent interaction is important in EG while solute solvent interactions dominate in water and methanol. From Voronoi tessellation, it is seen that the voids and necks within methanol are larger as compared to those within water or EG. On the basis of the void and neck distributions obtained from MD simulations and literature experimental data of limiting ion conductivity for various ions of different sizes we show that there is a relation between the void and neck radius on e one hand and dependence of conductivity on the ionic radius on the other. It is shown that the presence of large diameter voids and necks in methanol is responsible for maximum in limiting ion conductivity (lambda(0)) of TMA(+), while in water in EG, the maximum is seen for Rb+. In the case of monovalent anions, maximum in lambda(0) as a function ionic radius is seen for Br- in water EG but for the larger ClO4- ion in methanol. The relation between the void and neck distribution and the variation in lambda(0) with ionic radius arises via the Levitation effect which is discussed. These studies show the importance of the solvent structure and the associated void structure.
- Published
- 2013
33. In vitro conversion of proinsulin to insulin by cathepsin B and role of C-peptide
- Author
-
Puri, Renu Bala, Anjaneyulu, Kawluru, Kidwai, Jalil R., and Mohan Rao, Varanasi K.
- Published
- 1978
- Full Text
- View/download PDF
34. The Important Role of Heteroaromatics in the Design of Efficient Second-Order Nonlinear Optical Molecules: Theoretical Investigation on Push−Pull Heteroaromatic Stilbenes
- Author
-
Pushkara Rao Varanasi, Jayaraman Chandrasekhar, Irishi N. N. Namboothiri, A. Rathna, and Alex K.-Y. Jen
- Subjects
Ab initio ,General Chemistry ,Biochemistry ,Acceptor ,Molecular physics ,Catalysis ,Dipole ,Delocalized electron ,chemistry.chemical_compound ,Colloid and Surface Chemistry ,chemistry ,Computational chemistry ,Excited state ,Thiophene ,Molecule ,Excitation - Abstract
First hyperpolarizabilities of a large number of push−pull substituted conjugated systems with heteroaromatic spacers have been calculated. The static, nonresonant components were computed at the ab initio level (4-31G basis) using the coupled perturbed Hartree−Fock approach and at the AM1 level employing the finite field method. Sum-over-states procedure has also been used with the AM1/CI method to compute β0 and β at an excitation energy of 1.17 eV. The computed β values at the various levels are reasonably similar and exhibit the same trends. The largest values are obtained with a donor on pyrrole and an acceptor on thiophene or thiazole. The variations do not always inversely follow the order of delocalization energies of the heterocyclic rings. The trends in the dipole moment changes and transition energies between the ground and first excited charge-transfer state primarily determine the variations in the computed β values.
- Published
- 1996
- Full Text
- View/download PDF
35. Dependence of diffusivity on density and solute diameter in liquid phase: a molecular dynamics study of Lennard-Jones system
- Author
-
Subramanian Yashonath, Srinivasa Rao Varanasi, and Parveen Kumar
- Subjects
Self-diffusion ,Void (astronomy) ,Chemistry ,Physics ,Solid State & Structural Chemistry Unit ,General Physics and Astronomy ,Activation energy ,Thermal diffusivity ,Molecular physics ,Crystallography ,Molecular dynamics ,Lennard-Jones potential ,Levitation ,Physical and Theoretical Chemistry ,Necking - Abstract
Investigations into the variation of self-diffusivity with solute radius, density, and degree of disorder of the host medium is explored. The system consists of a binary mixture of a relatively smaller sized solute, whose size is varied and a larger sized solvent interacting via Lennard-Jones potential. Calculations have been performed at three different reduced densities of 0.7, 0.8, and 0.933. These simulations show that diffusivity exhibits a maximum for some intermediate size of the solute when the solute diameter is varied. The maximum is found at the same size of the solute at all densities which is at variance with the prediction of the levitation effect. In order to understand this anomaly, additional simulations were carried out in which the degree of disorder has been varied while keeping the density constant. The results show that the diffusivity maximum gradually disappears with increase in disorder. Disorder has been characterized by means of the minimal spanning tree. Simulations have also been carried out in which the degree of disorder is constant and only the density is altered. The results from these simulations show that the maximum in diffusivity now shifts to larger distances with decrease in density. This is in agreement with the changes in void and neck distribution with density of the host medium. These results are in excellent agreement with the predictions of the levitation effect. They suggest that the effect of disorder is to shift the maximum in diffusivity towards smaller solute radius while that of the decrease in density is to shift it towards larger solute radius. Thus, in real systems where the degree of disorder is lower at higher density and vice versa, the effect due to density and disorder have opposing influences. These are confirmed by the changes seen in the velocity autocorrelation function, self part of the intermediate scattering function and activation energy. (C) 2012 American Institute of Physics. http://dx.doi.org/10.1063/1.3701619]
- Published
- 2012
36. Variation of diffusivity with the cation radii in molten salts of superionic conductors containing iodine anion: A molecular dynamics study
- Author
-
Srinivasa Rao Varanasi and Subramanian Yashonath
- Subjects
Molecular dynamics ,Ionic radius ,Chemistry ,Diffusion ,Inorganic chemistry ,Fast ion conductor ,Thermodynamics ,Solid State & Structural Chemistry Unit ,General Chemistry ,Molten salt ,Thermal diffusivity ,Pair potential ,Ion - Abstract
A molecular dynamics study of the dependence of diffusivity of the cation on ionic radii in molten AgI is reported. We have employed modified Parinello-Rahman-Vashistha interionic pair potential proposed by Shimojo and Kobayashi.(1) Our results suggest that the diffusivity of the cation exhibits an increase followed by a decrease as the ionic radius is increased. Several structural and dynamical properties are reported.
- Published
- 2012
37. Effect of pressure on the ionic conductivity of Li+ and Cl- ions in water
- Author
-
Yashonath Subramanian, Parveen Kumar, and Srinivasa Rao Varanasi
- Subjects
Chemistry ,Physics ,Analytical chemistry ,General Physics and Astronomy ,Thermodynamics ,Solid State & Structural Chemistry Unit ,Conductivity ,Radial distribution function ,Thermal diffusivity ,Ion ,Dipole ,Solvation shell ,Ionic conductivity ,Physical and Theoretical Chemistry ,Exponential decay - Abstract
A molecular dynamics simulation study of aqueous solution of LiCl is reported as a function of pressure. Experimental measurements of conductivity of Li+ ion as a function of pressure shows an increase in conductivity with pressure. Our simulations are able to reproduce the observed trend in conductivity. A number of relevant properties have been computed in order to understand the reasons for the increase in conductivity with pressure. These include radial distribution function, void and neck distributions, hydration or coordination numbers, diffusivity, velocity autocorrelation functions, angles between ion-oxygen and dipole of water as well as OH vector, mean residence time for water in the hydration shell, etc. These show that the increase in pressure acts as a structure breaker. The decay of the self part of the intermediate scattering function at small wave number k shows a bi-exponential decay at 1 bar which changes to single exponential decay at higher pressures. The k dependence of the ratio of the self part of the full width at half maximum of the dynamic structure factor to 2Dk(2) exhibits trends which suggest that the void structure of water is playing a role. These support the view that the changes in void and neck distributions in water can account for changes in conductivity or diffusivity of Li+ with pressure. These results can be understood in terms of the levitation effect. (C) 2012 American Institute of Physics. http://dx.doi.org/10.1063/1.4756909]
- Published
- 2012
38. A molecular dynamics study and molecular level explanation of pressure dependence of ionic conductivity of potassium chloride in water
- Author
-
Parveen Kumar, Pierfranco Demontis, Subramanian Yashonath, Marco Masia, Giuseppe Baldovino Suffritti, and Srinivasa Rao Varanasi
- Subjects
Range (particle radiation) ,Aqueous solution ,Chemistry ,Potassium ,General Physics and Astronomy ,Thermodynamics ,chemistry.chemical_element ,Ion ,Dilution ,Molecular dynamics ,Levitation ,Ionic conductivity ,Physical chemistry ,Physical and Theoretical Chemistry - Abstract
Experimental ionic conductivity of different alkali ions in water shows markedly different dependences on pressure. Existing theories such as that of Hubbard-Onsager are unable to explain these dependences on pressure of the ionic conductivity for all ions. We report molecular dynamics investigation of potassium chloride solution at low dilution in water at several pressures between 1 bar and 2 kbar. Two different potential models have been employed. One of the models successfully reproduces the experimentally observed trend in ionic conductivity of K(+) ions in water over the 0.001-2 kbar range. We also propose a theoretical explanation, albeit at a qualitative level, to account for the dependence of ionic conductivity on pressure in terms of the previously studied Levitation Effect. It also provides a microscopic picture in terms of the pore network in liquid water.
- Published
- 2011
39. Optimization of pitch-split double patterning phoresist for applications at the 16nm node
- Author
-
Matthew E. Colburn, Steven J. Holmes, Brian Osborn, Sean D. Burns, Shinichiro Kawakami, David Hetzer, Sumanth Kini, Hideyuki Tomizawa, Nicolette Fender, Chiew-seng Koay, Karen Petrillo, John C. Arnold, Terry A. Spooner, Yunpeng Yin, Guillaume Landie, Rex Chen, Mark Slezak, Rao Varanasi, Scott Halle, Cherry Tang, Shyng-Tsong Chen, Jason Cantone, Sen Liu, Shannon Dunn, and Lovejeet Singh
- Subjects
Optics ,Fabrication ,Materials science ,Resist ,Computer Science::Sound ,business.industry ,Multiple patterning ,Process window ,Semiconductor device ,business ,Dark field microscopy ,Lithography ,Critical dimension - Abstract
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
- Published
- 2011
- Full Text
- View/download PDF
40. Systematic studies on reactive ion etch-induced deformations of organic underlayers
- Author
-
Chung-hsi J. Wu, Martin Glodde, Yayi Wei, Sivananda K. Kanakasabapathy, Karen Petrillo, P. Rao Varanasi, Hiroyuki Miyazoe, Chiew-seng Koay, Markus Brink, John C. Arnold, Yunpeng Yin, Muthumanickam Sankarapandian, Kwang-Sub Yoon, E. Anuja de Silva, Erin Mclellan, Michael A. Guillorn, Hakeem Yusuff, and Sebastian Engelmann
- Subjects
Materials science ,Plasma etching ,Resist ,business.industry ,Optoelectronics ,Nanotechnology ,Substrate (printing) ,Reactive-ion etching ,Chip ,business ,Lithography - Abstract
Underlayers (UL), such as organic planarizing layers (OPLs) or spin-on carbon (SOC) layers, play a very important role in various integration schemes of chip manufacturing. One function of OPLs is to fill in pre-existing patterns on the substrate, such as previously patterned vias, to enable lithographic patterning of the next level. More importantly, OPL resistance to reactive ion etch (RIE) processes used to etch silicon-containing materials is essential for the successful pattern transfer from the resist into the substrate. Typically, the pattern is first transferred into the OPL through a two-step RIE sequence, followed by the transfer into the substrate by a fluorine-containing RIE step that leaves the OPL pattern mainly intact. However, when the line/space patterns are scaled down to line widths below 35 nm, it was found that this last RIE step induces severe pattern deformation ("wiggling") of the OPL material, which ultimately prevents the successful pattern transfer into the substrate. In this work, we developed an efficient process to evaluate OPL materials with respect to their pattern transfer performance. This allowed us to systematically study material, substrate and etch process parameters and draw conclusions about how changes in these parameters may improve the overall pattern transfer margin.
- Published
- 2011
- Full Text
- View/download PDF
41. Developable BARC (DBARC) technology as a solution to today's implant lithography challenges
- Author
-
James F. Cameron, Hiroaki Kitaguchi, Jin Wuk Sung, Irene Popova, Adam Ware, Pushkara Rao Varanasi, Sabrina Wong, Yoshihiro Yamamoto, Ranee Kwong, S. Holmes, and Libor Vyklicky
- Subjects
Computer science ,Nanotechnology ,engineering.material ,Reflectivity ,Engineering physics ,law.invention ,Anti-reflective coating ,Coating ,Resist ,law ,engineering ,Process window ,Sublimation (phase transition) ,Lithography - Abstract
As patterning of implant layers becomes increasingly challenging it is clear that the standard resist/Top Antireflective Coating (TARC) process may be soon be limited in terms of its ability to meet implant targets at future nodes. A particularly attractive solution for patterning implant levels is the use of a Developable Bottom Anti-Reflective Coating (DBARC). Similar to a conventional BARC, a DBARC controls reflectivity from the underlying substrate by absorbing the incident radiation thereby minimizing detrimental effects of reflected light. However, unlike a conventional Bottom Anti-Reflective Coating (BARC) which requires a BARC open etch step, the DBARC is developed with the resist in a single step leaving the substrate ready for implantation. These properties make DBARC very attractive for implant layers. In this paper, we report on the development of KrF and ArF DBARCs for implant applications. Our primary interest is in developing solutions for patterning Post-Gate implant levels. We briefly describe our fundamental design concepts and demonstrate the concepts are robust as we develop ARTM602 DBARC to address the criteria for a production worthy DBARC. This includes data on EBR performance, drain line compatibility, sublimation and footing coverage over topography. In terms of lithographic performance, we demonstrate improved capability over the incumbent SLR/TARC process in many key areas. This includes through pitch performance, process window and profile integrity over topography for both KrF and ArF DBARC solutions. Several strategies to enhance profile by resist/DBARC matching are also demonstrated. From a platform robustness standpoint, we show that AR602 DBARC is ready for high volume manufacturing in terms of batch to batch control and shelf life.
- Published
- 2011
- Full Text
- View/download PDF
42. Optimization of pitch-split double patterning photoresist for applications at the 16nm node
- Author
-
John C. Arnold, Mark Slezak, Rao Varanasi, Matthew E. Colburn, Yunpeng Yin, Cherry Tang, Scott Halle, Lovejeet Singh, Shyng-Tsong Chen, Guillaume Landie, Terry A. Spooner, Chiew-seng Koay, Nicolette Fender, Sen Liu, Rex Chen, Steven J. Holmes, Brian Osborn, Sean D. Burns, Karen Petrillo, Sumanth Kini, and Hideyuki Tomizawa
- Subjects
Fabrication ,Materials science ,business.industry ,Nanotechnology ,Semiconductor device ,Photoresist ,Dark field microscopy ,Resist ,Computer Science::Sound ,Multiple patterning ,Optoelectronics ,Process window ,business ,Critical dimension - Abstract
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
- Published
- 2011
- Full Text
- View/download PDF
43. Fabrication of dual damascene BEOL structures using a multilevel multiple exposure (MLME) scheme, part 2: RIE-based pattern transfer and completion of dual damascene process yielding an electrically functional via chain
- Author
-
Ronald A. Della Guardia, Rex Chen, Mark Slezak, Rao Varanasi, Steven J. Holmes, Nicolette Fender, Stefan Harrer, Sebastian Engelmann, Shyng-Tsong Chen, Eric A. Joseph, David V. Horak, Matthew E. Colburn, Dario L. Goldfarb, Yunpeng Yin, John C. Arnold, and Cherry Tang
- Subjects
Back end of line ,Materials science ,Resist ,Stack (abstract data type) ,business.industry ,Semiconductor device fabrication ,Chemical-mechanical planarization ,Copper interconnect ,Optoelectronics ,Wafer ,Nanotechnology ,Dry etching ,business - Abstract
A novel back-end-of-line (BEOL) patterning and integration process termed Multi-Level Multiple Exposure (MLME) technique is herein introduced. The MLME technique simplifies BEOL dual damascene (DD) integration while simultaneously being applicable to all BEOL levels. It offers a patterning resolution reaching into the sub-100nm region and improves semiconductor manufacturing cost and throughput. MLME employs a dual-layer imaging stack (via + trench resists) cast onto a customized etch transfer multilayer stack. This process implements a strict litho-litho-etch sequence for transferring the trench- and via-patterns into the dielectric layer. Under the MLME scheme, two imaging steps (i.e. via- and trench-level patterning) are executed consecutively followed by a dry etch process that transfers the lithographically-formed patterns into the customized etch transfer multilayer stack and further into the dielectric layer. The MLME integration scheme not only decreases the number of overall process steps for the full DD BEOL process but also eliminates several inter-tool wafer exchange sequences as performed in a conventional litho-etch-litho-etch process flow. All MLME process steps were demonstrated i.e. combined 193nm-dry dual-resist layer MLME via- and trench-lithography, full pattern transfer of via- and trench-patterns into the dielectric layer using reactive ion etching (RIE), as well as electroplating and polishing of the DD patterns. This paper provides a detailed description of both post-lithography steps of the DD process for a DD BEOL structure, i.e. (i) the RIE-pattern transfer process with the customized multilayer stack, and (ii) the metallization process completing the DD process for one BEOL layer. Furthermore, the integration capabilities of the MLME technique were demonstrated and characterized by generating an electrically functional via-chain connecting two neighboring BEOL layers fabricated by subsequently applying the MLME approach to both layers. An exhaustive description and evaluation of MLME lithographic patterning is given in an accompanying paper.
- Published
- 2010
- Full Text
- View/download PDF
44. Process characterization of pitch-split resist materials for application at 16nm node
- Author
-
Matthew E. Colburn, Steven J. Holmes, Yunpeng Yin, John C. Arnold, Mark Slezak, Rao Varanasi, Brian Osborn, Gary Dabbagh, Rex Chen, Cherry Tang, Sen Liu, and Nicolette Fender
- Subjects
Materials science ,Fabrication ,Resist ,Multiple patterning ,Process window ,Nanotechnology ,Node (circuits) ,Lithography ,Next-generation lithography ,Characterization (materials science) - Abstract
Lithographic scaling beyond the 22 nm node requires double patterning techniques to achieve pitch values below 80nm. The semiconductor industry is focusing on the development of several process techniques including track-only lithographic processing methods in order to reduce cost, cycle time and defects. Initial efforts for track-only double expose processes have relied on the use of chemical freeze materials to prevent inter-mixing of resists, and also by means of thermal curable materials. These two techniques may be complementary, in the sense that a chemical freeze may be very robust for protection of exposed regions, while thermal cure systems may provide strong protection of large unexposed areas. We will describe our results with mainly the thermal-cure double patterning resist materials, and the application of these materials to the fabrication of sub-80 nm pitch semiconductor structures. We will summarize the process window and defect capability of these materials, for both line/space and via applications.
- Published
- 2010
- Full Text
- View/download PDF
45. Design and development of production-worthy developable BARCs(DBARCs) for implant lithography
- Author
-
Jin Wuk Sung, Pushkara Rao Varanasi, Hiroaki Kitaguchi, James F. Cameron, Kevin O'Shea, Yoshihiro Yamamoto, Adam Ware, Irene Popova, John P. Amara, Libor Vyklicky, and David Valeri
- Subjects
Process (engineering) ,Computer science ,Oxide ,Nanotechnology ,Substrate (printing) ,Photoresist ,Engineering physics ,chemistry.chemical_compound ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Key (cryptography) ,Miniaturization ,Production (economics) ,Development (differential geometry) ,Electronics ,Lithography - Abstract
As device scaling continues according to Moore's Law, an ongoing theme in the semiconductor industry is the need for robust patterning solutions for advanced device manufacture. One particularly attractive solution for implant lithography is the use of a developable BARC (DBARC) to improve reflection control while still affording an "implant ready" substrate following development. Going forward, these two features of DBARC technology are key to successful implant patterning as the industry standard TARC process begins to falter due to poor substrate reflection control leading to profile degradation, shrinking process windows and poor CDU. In this paper, we report our progress in the design and development of production worthy DBARCs for implant lithography. In addition to outlining our general design concepts, we describe our fundamental approach to characterizing DBARCs and share key performance data showing our DBARC technology is surpassing the capability of a traditional TARC process for both KrF and ArF implant applications. Key performance metrics include CD swing, CD control over varying oxide thickness, active to field CD bias and footing over topography.
- Published
- 2010
- Full Text
- View/download PDF
46. Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography
- Author
-
Martin Glodde, Pushkara Rao Varanasi, and Sen Liu
- Subjects
Materials science ,chemistry.chemical_element ,Nanotechnology ,Onium ,Photoresist ,Characterization (materials science) ,law.invention ,Chemical species ,chemistry ,Resist ,law ,Fluorine ,Photolithography ,Lithography - Abstract
Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.
- Published
- 2010
- Full Text
- View/download PDF
47. Progress towards production worthy developable BARCs (DBARCs)
- Author
-
Jin Wuk Sung, James F. Cameron, Gregory P. Prokopowicz, Irene Popova, Yoshihiro Yamamoto, Pushkara Rao Varanasi, Adam Ware, Wu-Song Huang, Tomoki Kurihara, John P. Amara, Libor Vyklicky, Kevin O'Shea, and David Valeri
- Subjects
Materials science ,Coating ,Resist ,law ,engineering ,High resolution ,Nanotechnology ,Process window ,engineering.material ,Photolithography ,Insertion point ,Lithography ,law.invention - Abstract
Developable bottom anti-reflective coating (DBARC) technology holds promise in two main areas of lithography. The first application of DBARC is in implant lithography where patterning implant levels would greatly benefit from improved reflection control such as provided by a conventional BARC. However, implant layers cannot withstand BARC open etch thereby making DBARC an attractive solution as the resist and DBARC are simultaneously dissolved during the development step leaving the underlying substrate ready for implantation. In comparison to current implant processes with top anti-reflective coatings (TARC), DBARCs are anticipated to offer improvements in reflection control which would translate to improved CDU and increased process window for both KrF and ArF implants. Indeed, this area has long been considered the ideal insertion point for DBARC technology. The second area where DBARC technology can make a significant impact is in non-implant lithography. In this large segment, the ability to replace a conventional BARC with a DBARC affords the device maker the ability to simplify both lithographic and integration processes. By replacing the BARC with a DBARC, the BARC open etch is negated. Furthermore, by applying this strategy on multilayer stacks it is possible to greatly simplify the process by avoiding both CVD steps and pattern transfer steps thereby easing integration. In this area, DBARC technology could have merit for low k1 KrF and ArF (dry) lithography as well as in immersion ArF processes. This paper describes our results in designing production worthy DBARCs for both implant and non-implant applications. A newly developed KrF DBARC platform is evaluated for logic implant applications and compared to a standard TARC implant process. Post develop residue and defectivity are checked for the new platform and the results compared to production worthy BARC and implant resists. A new ArF platform was also developed and initial lithographic results are reported for an implant application. Several non-implant applications were also investigated and results are reported for high resolution KrF and ArF (dry) lithography as well as an immersion ArF process.
- Published
- 2009
- Full Text
- View/download PDF
48. Resist freezing process for double exposure lithography
- Author
-
Wu-Song Huang, P. Rao Varanasi, Wai-kin Li, and Kuang-Jung Rex Chen
- Subjects
chemistry.chemical_classification ,Materials science ,Resist ,chemistry ,Scientific method ,Nanotechnology ,Polymer ,Stepper ,Composite material ,Lithography ,Layer (electronics) - Abstract
In this study, we have developed a thermal freezing process to prevent intermixing between 1st patterned positive resist and 2nd positive resist. Based on solvent solubility switch characteristic of polymer after higher temperature bake, a prototype of polymer consisting of methyladmantane mathacrylate, norbornanecarbo lactone mathacrylate and hydroxyl admantane mathacrylate was selected for resist-on-resist double exposure experiment to prevent the intermixing between layers. Photo sensitivity shifting of this prototype resist after post develop bake further facilitates the design by preventing 1st layer resist distortion from 2nd exposure. Lastly, through composition and formulation optimization, 35nm L/S patterns were successfully demonstrated by using a 1.2NA stepper.
- Published
- 2008
- Full Text
- View/download PDF
49. A new class of low bake resists for 193-nm immersion lithography
- Author
-
Phillip J. Brock, Mahmoud Khojasteh, Irene Popova, Wu-Song Huang, Richard Anthony DiPietro, Rex Chen, Pushkara Rao Varanasi, Luisa D. Bozano, Ratnam Sooriyakumaran, Hoa Truong, and Robert D. Allen
- Subjects
Materials science ,Acetal ,Nanotechnology ,Image integrity ,Photochemistry ,Water based ,law.invention ,chemistry.chemical_compound ,chemistry ,Resist ,law ,Photoacid ,Photolithography ,Lithography ,Immersion lithography - Abstract
We report here, new non-acetal containing low bake (PEB < 100° C ) resists that are suitable for immersion lithography. These resists are based on novel low activation energy (low-E a ) tertiary ester protecting groups. One major obstacle to imaging in the sub-50 nm regime using chemically amplified resists is the diminished image integrity in the pattern ("image blur") due to photo-generated acid diffusion into unexposed regions. Low processing temperatures are predicted to decrease the degree of photoacid diffusion and, in turn, decrease the image blur. Even though many low bake resist compositions have previously been reported, they are all based on acetal/ketal protecting groups. Unfortunately, these materials require a stoichiometric amount of water for the photoacid-catalyzed deprotection reaction to proceed. It is usually assumed that the water for the reaction comes from the environment in the bake station. However, fluctuations in humidity could affect the performance of the resist. Furthermore, acetal/ketal-based resists generally lack storage stability. For these reasons, acetal/ketal-based resists did not receive widespread acceptance in the lithography community. With the introduction of water based immersion lithography, acetal/ketal-based resists are expected to have further performance difficulties. Therefore, we targeted the development of new "low blur" resists for 193nm lithography that do not contain acetal/ketal protecting groups.
- Published
- 2008
- Full Text
- View/download PDF
50. High-performance 193nm photoresists based on fluorosulfonamide
- Author
-
Kuang-Jung Chen, Gary Dabbagh, Mark Slezak, P. Rao Varanasi, Margaret C. Lawson, Zhi Liu, Mahmoud Khojasteh, Takanori Kawakami, Makoto Sugiura, Shiro Kusumoto, Wenjie Li, Ranee Kwong, Yoshikazu Yamaguchi, Irene Popova, and Tsutomu Shimokawa
- Subjects
Materials science ,Resist ,law ,Reticle ,Nanotechnology ,Photolithography ,Photoresist ,Dark field microscopy ,Lithography ,Critical dimension ,Immersion lithography ,law.invention - Abstract
The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol (HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic performance of the FSM resist system are reported.
- Published
- 2007
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.