831 results on '"SERDES"'
Search Results
2. A low-power transmitter driver for die to die.
- Author
-
REN Bo-lin, XIAO Li-quan, QI Xing-yun, ZHANG Geng, WANG Qiang, LUO Zhang, PANG Zheng-bin, and XU Jia-qin
- Abstract
A low-power transmitter driver for chiplet interconnection was designed and experimentally implemented based on the interchip interconnection standard proposed by the UCIe protocol. The driver circuit adopts a source series terminated (SST) diver, whose power consumption is only 1/4 that of the current mode logic (CML) structure. In add-on, based on adjustable feedforward equalization technology, the driver circuit adjusts the equalization strength for different channel attenuations. By de-emphasizing equalization, it enhances the quality of the transmitted signal, ultimately reducing inter-symbol interference. This circuit was designed under CMOS 28 nm process. The front-end simulation results show that the maximum equalization intensity is --3. 7 dB when the 0. 9 V voltage is supplied. When the 32 Gbps NRZ signal passes through the 21 mm channel (the attenuation at the 16 GHz Nyquist frequency is --2. 37 dB), after adjusting the appropriate equalization intensity, the eye height of the output waveform eye diagram is 253 mV (71.8%), the eye width is 27 ps (87%), and the simulation power consumption is only 4. 0 mW. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
3. A Companding Technique to Reduce Peak-to-Average Ratio in Discrete Multitone Wireline Receivers
- Author
-
Miad Laghaei, Hossein Shakiba, and Ali Sheikholeslami
- Subjects
Continuous time linear equalizer (CTLE) ,discrete multi-tone (DMT) ,OFDM ,peak-to-average power ratio (PAPR) ,SERDES ,single-tap equalization ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
Multicarrier modulation, while providing a theoretical pathway to data rates approaching the Shannon limit and being extensively utilized in wireless communication, has encountered limited application in high-speed wireline communication. This limitation is primarily due to substantial large amplitude peaks, which necessitates a reduction in the signal’s power levels to circumvent signal clipping. This, in turn, results in a low signal-to-noise ratio (SNR) which puts these modulations at a serious disadvantage compared to conventional modulation schemes. This work proposes a novel companding solution in the design of the Continuous Time Linear Equalizer (CTLE) alongside nonlinear blocks to reduce Peak to Average Power Ratio (PAPR), therefore improving the overall link performance. This paper presents a PAPR reduction technique and its implementation in the receiver, distinguishing it from previous studies that place the compander at the transmitter where it fails to work in the presence of an Inter-Symbol Interference (ISI) channel. A theoretical study as well as an implementation of this method is provided, and the merits and performance improvements are demonstrated.
- Published
- 2024
- Full Text
- View/download PDF
4. FBMC vs. PAM and DMT for High-Speed Wireline Communication
- Author
-
Jeremy Cosson-Martin, Jhoan Salinas, Hossein Shakiba, and Ali Sheikholeslami
- Subjects
Discrete multi-tone (DMT) ,emulation ,filter-bank multi-carrier (FBMC) ,orthogonal frequency division multiplexing (OFDM) ,pulse amplitude modulation (PAM) ,SERDES ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
This paper demonstrates the first silicon-verified FBMC encoder and decoder designed to emulate beyond $224Gb/s$ wireline communication. It also compares the performance of FBMC to PAM and DMT in three steps. First, the digital power and area consumption are compared using measured results from the manufactured test chip. Second, the data rate is determined using lab-measured results. And third, the performance when subject to notched channels is analyzed using simulation results. Finally, we present a method to emulate wireline links while reducing the emulator complexity and simulation time by one to two orders of magnitude over conventional over-sampled techniques. Our analysis indicates that given a smooth channel and an SNR which enables an average spectral efficiency of $4bits/sec/Hz$ at a bit-error rate of 10-3, both DMT and FBMC perform similarly to a conventional PAM-4 link. However, when noise is reduced and a spectral notch is applied, thereby achieving an average spectral efficiency of $4.6bits/sec/Hz$ , DMT and FBMC can outperform PAM by 2.1 and 2.3 times, respectively. In addition, we estimate FBMC’s encoder and decoder power consumption at $1.53pJ/b$ and $1.98pJ/b$ , respectively, and area requirement at $0.07mm^{2}$ and $0.17mm^{2}$ , respectively, which is similar to DMT. These values are competitive with similar $22nm$ PAM transceivers, suggesting that DMT and FBMC are viable alternatives to PAM for next-generation high-speed wireline applications.
- Published
- 2024
- Full Text
- View/download PDF
5. Data Path Nonlinearity Estimation for 200 Gbps PAM4 Serdes Receivers
- Author
-
Archit Joshi
- Subjects
Serdes ,nonlinearity ,continuous time linear equalizer ,variable gain amplifier ,decision feedback equalization ,feed forward equalization ,Electrical engineering. Electronics. Nuclear engineering ,TK1-9971 - Abstract
This paper presents a method to estimate the nonlinearity of the analog data path of Serdes receiver, in real time operation. The estimated nonlinearity can be used to adapt various receiver parameters to improve performance. The proposed method is demonstrated for a 200 Gbps ADC-Based receiver, in which, nonlinearity estimate is used to set Analog Front End (AFE) swing optimally, resulting in improved eye opening. The proposed method is also used to adapt decompressive characteristic optimally.
- Published
- 2024
- Full Text
- View/download PDF
6. Analysis and Design of an Optimal Noise Estimation and Cancellation Filter in Wireline Communication
- Author
-
Mohammad Emami Meybodi, Hossein Shakiba, and Ali Sheikholeslami
- Subjects
Noise cancelling filter ,SERDES ,wireline ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
This paper presents a comprehensive study of noise prediction and cancellation techniques in high-speed wireline communication systems. Feedforward and feedback architectures are compared, and it is found that while feedforward architecture can reduce total noise power, it fails to reduce symbol error rate (SER) due to unreliable noise estimation. To address this issue, an optimal noise estimation and cancellation filter (ONECF) is proposed, which directly minimizes SER. The paper provides mathematical analysis and experimental results of ONECF, demonstrating that ONECF is effective in reducing SER and improving SNR, and the degree of improvement is proportional to the channel loss. However, ONECF’s performance saturates at a certain level, which depends on the number of taps used. We conclude that feedforward noise cancelling filters are suitable for low to medium loss channels, whereas feedback ones are suitable for high loss channels.
- Published
- 2024
- Full Text
- View/download PDF
7. A Linear Multi-Band Voltage-Controlled Oscillator with Process Compensation for SerDes Applications.
- Author
-
Bertsias, Panagiotis, Tsimpos, Andreas, and Souliotis, George
- Subjects
VOLTAGE-controlled oscillators ,PHASE noise ,FREQUENCY tuning - Abstract
A new voltage-controlled oscillator (VCO) topology for serializer–deserializer (SerDes) applications is proposed in this paper. The topology is suitable for SATA, PCI Express, and USB 3 protocols. The VCO is based on two-ring oscillator cores and operates in several frequency bands, as required by the corresponding protocol specifications, with a constant VCO gain and improved linear control over the frequency tuning. Additionally, it is supported by an automatic digital compensation mechanism for process variations. The VCO has been designed to cover the several speeds of the SATA and PCI Express protocols, with optimized performance in all of them, including the current consumption, the phase noise, and the frequency tuning in each case. Designed in a CMOS 22 nm technology node with a 0.8 V supply voltage, it can achieve, at 3 GHz frequency, a phase noise better than −90 dBc/Hz at 1 MHz offset and an average power consumption equal to 3.84 mW. Extended digital control can set optimized configurations for phase noise, current consumption, and VCO gain vs. process variations. Extensive post-layout simulation results verify the superior performance. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
8. Energy efficient encoding methods for chip-to-chip communication
- Author
-
Maragkoudaki, Eleni, Pavlidis, Vasileios, and Moutafis, Christoforos
- Subjects
signal integrity ,low swing ,bit transition ,DC balance ,voltage mode ,driver ,SSTL ,clock recovery ,energy efficiency ,interconnect ,PHY ,interposer ,communication ,parallel ,SerDes ,encoding ,low power ,physical interface - Abstract
As traditional scaling slows down, the number of cores and the amount of memory per system increase to satisfy the performance demand. This drive for more parallelism increases data movement requirements rapidly. However, as technology scales the energy dissipated in data communication scales at a much slower pace compared to computation energy. Therefore, new methods to reduce the energy of data transmission are explored in this thesis. Three different techniques that decrease the dynamic power of interconnects are discussed: low-swing signalling, 3-D and 2.5-D integration technologies that reduce the interconnect length, and signal encoding. An investigation of the energy benefits and limitations of low-swing signalling when applied to interposer technologies is provided, where different interposer materials are considered. Although the potential energy savings are high, low-swing signalling is susceptible to noise or induces high area penalty. Therefore, this thesis focuses on signal encoding, which reduces the bit transitions of the transmitted data to save power. Two encoding schemes are proposed, named Adaptive Word Reordering (AWR) and Serial Tuned Transition Encoding (STTE), for parallel and serial interfaces, respectively. AWR achieves a high decrease in transitions and a novel custom circuit implementation is provided to constrain the overhead in power. The power savings of AWR reach up to 23% for a 1 mm interposer-based interconnect without affecting the communication bandwidth. Alternatively, STTE is designed for source asynchronous serial interfaces, where the receiver recovers the clock from the incoming data. STTE regulates the number of transitions such that the clock can be reliably recovered while the communication energy is lowered. STTE provides at least 25% decrease in energy for a 1 mm interposer-based interconnect compared to scrambling, which is typically used in these interfaces. The ability to maintain clock recovery and, thus, link integrity is evaluated experimentally using both an electrical and an optical link that interconnect two FPGA devices.
- Published
- 2022
9. System Design Methodology
- Author
-
Tran, Thanh T. and Tran, Thanh T.
- Published
- 2023
- Full Text
- View/download PDF
10. Novel Analysis and Design Techniques for High-Speed Wireline Receivers
- Author
-
Tyagi, Kshitiz
- Subjects
Electrical engineering ,Analog Design ,Circuit Design ,High speed receivers ,Integrated Circuits ,Serdes ,Wireline - Abstract
The steady growth in global internet traffic continues to push the aggregate bandwidth requirementsin chip-to-chip communications, which is supported by an increase in both the number of lanes perchip and the per-lane data rate, rising by about a factor of two every four years. This trend dictatesthat both the power efficiency and area consumption of the transceivers (TRXs) be minimized soas to balance overall system costs. The problem of power consumption is further aggravated by theworsening speed-power-loss tradeoffs at high-speeds, with the state-of-the-art 56/112 Gb/s TRXsdrawing between 2.5-4.5 mW/Gb/s; well above the generally-desired target of 1 mW/Gb/s.A study of these TRX architectures reveals that they employ 4-level pulse amplitude modulation (PAM4) in order to alleviate the high channel loss, but do so at the cost of reduced signal-to-noise (SNR) ratio and increased sensitivity to cross-talk and channel reflections. This dictatespower hungry ‘mostly-digital’ receiver (RX) architectures which comprise of a high-speed, highly-interleaved analog-to-digital-converter (ADC) front-end and a digital back-end providing equalization and clock-and-data recovery (CDR). The high power consumption can be attributed to thehigh interleaving factor (40-50 channels), as well as the generation and distribution of low-jitter,multi-phase clocks to these channels.In this work, we aim to resolve these speed-power tradeoffs through novel analytical and circuitdesign techniques that enable a threefold reduction in both the power efficiency and area consumption. In the first part, we introduce an RX design for short to medium reach links that is basedon NRZ signaling, thus avoiding an ADC-based architecture altogether, instead relying on powerand area efficient ‘analog’ linear equalizer (CTLE) and decision-feedback equalizer (DFE) implementations. The issue of increased channel loss associated with NRZ modulation is resolved withdesign techniques that raise the loss equalization capability considerably with a minimal powerpenalty. Further, a new DFE-CDR co-design is introduced that ensures robust operation for highchannel losses. The effectiveness of the proposed techniques is demonstrated through measurement results of a prototype RX chip fabricated in TSMC 28-nm digital CMOS technology. TheRX operates at 56 Gb/s and equalizes channel losses up to 25.5 dB with a bit-error-ratio (BER) <10-12, while consuming only 16.84 mW from a 1-V supply, and occupies a core area of only 0.018mm2, representing a threefold improvement in both metrics compared to the prior art.Second, we discuss the problem of clock jitter and its impact on the SNR in ADC-based topologies. We introduce a rigorous and intuitive approach that enables a simple estimation of the maximum jitter for a given SNR penalty, and show that this estimate considerably relaxes the specification set by the conventionally applied models, thus alleviating the power consumption associatedwith the clock generation and distribution.
- Published
- 2024
11. A Low-Latency, Low-Jitter Retimer Circuit for PCIe 6.0.
- Author
-
Liu, Qing, Wang, Heming, Lyu, Fangxu, Zhang, Geng, and Lyu, Dongbin
- Subjects
SIGNAL integrity (Electronics) ,CLOCKS & watches ,PHYSICAL mobility - Abstract
As the PCIe 6.0 specification places higher requirements on signal integrity and transmission latency, it becomes especially important to improve signal transmission performance at the physical layer of the transceiver interface. Retimer circuits are a key component of high-speed serial interfaces, and their delay and jitter size directly affect the overall performance of PCIe. For the typical retimer circuit with large-latency and low-jitter performance, this paper proposes a low-latency and low-jitter Retimer circuit based on CDR + PLL architecture for PCIe 6.0, using a jitter-canceling filter circuit to eliminate the frequency difference between the retiming clock and data, reduce the retiming clock jitter, and improve the quality of Retimer output data. The data are sampled using the retiming clock and then output, avoiding the problem of large penetration latency of typical retimer circuits. The circuit is designed using the CMOS 28 nm process. Simulation results show that when 112 Gbps PAM4 data are input to the retimer circuit, the Retimer penetration latency is 27.3 ps, which is 83.5% lower than the typical Retimer structure; the output jitter data are 741 fs, a 31.4% reduction compared to the typical retimer structure. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
12. Design Techniques for CMOS Wireline NRZ Receivers Up To 56 Gb/s
- Author
-
Behzad Razavi
- Subjects
Continuous-time linear equalizer (CTLE) ,demultiplexers (DMUX) ,equalization ,feedforward ,SERDES ,serial links ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
Wireline receivers continue to target higher data rates, posing great challenges at circuit and architecture levels. Governed by tradeoffs among speed, power consumption, and channel loss (CL), receiver designs can benefit from new methods that push the performance envelope. This paper presents a number of techniques that allow non-return-to-zero data rates as high as 40 and 56 Gb/s in 45-nm and 28-nm CMOS technologies, respectively. The prototypes operate with a CL of 19-25 dB and a bit error rate of less than 10−12.
- Published
- 2023
- Full Text
- View/download PDF
13. ADC-Based SerDes Receiver for 112 Gb/s PAM4 Wireline Communication
- Author
-
Geary, Kevin, Hudner, James, Carey, Declan, Casey, Ronan, Hearne, Kay, Erett, Marc, Poon, Chi Fung, Zhang, Hongtao, Ambatipudi, Sai Lalith Chaitanya, Mahashin, David, Upadhyaya, Parag, Frans, Yohan, Harpe, Pieter, editor, Makinwa, Kofi A.A., editor, and Baschirotto, Andrea, editor
- Published
- 2022
- Full Text
- View/download PDF
14. Overview the Design Challenges of Phase-Frequency Detector for Clock and Data Recovery Circuit
- Author
-
Maiti, Madhusudan, Jana, Sayan, Patra, Shuvoshree, Saha, Subhas Chandra, Angrisani, Leopoldo, Series Editor, Arteaga, Marco, Series Editor, Panigrahi, Bijaya Ketan, Series Editor, Chakraborty, Samarjit, Series Editor, Chen, Jiming, Series Editor, Chen, Shanben, Series Editor, Chen, Tan Kay, Series Editor, Dillmann, Rüdiger, Series Editor, Duan, Haibin, Series Editor, Ferrari, Gianluigi, Series Editor, Ferre, Manuel, Series Editor, Hirche, Sandra, Series Editor, Jabbari, Faryar, Series Editor, Jia, Limin, Series Editor, Kacprzyk, Janusz, Series Editor, Khamis, Alaa, Series Editor, Kroeger, Torsten, Series Editor, Li, Yong, Series Editor, Liang, Qilian, Series Editor, Martín, Ferran, Series Editor, Ming, Tan Cher, Series Editor, Minker, Wolfgang, Series Editor, Misra, Pradeep, Series Editor, Möller, Sebastian, Series Editor, Mukhopadhyay, Subhas, Series Editor, Ning, Cun-Zheng, Series Editor, Nishida, Toyoaki, Series Editor, Pascucci, Federica, Series Editor, Qin, Yong, Series Editor, Seng, Gan Woon, Series Editor, Speidel, Joachim, Series Editor, Veiga, Germano, Series Editor, Wu, Haitao, Series Editor, Zamboni, Walter, Series Editor, Zhang, Junjie James, Series Editor, Sikdar, Biplab, editor, Prasad Maity, Santi, editor, Samanta, Jagannath, editor, and Roy, Avisankar, editor
- Published
- 2022
- Full Text
- View/download PDF
15. A Multi-phase LC-Ring-Based Voltage Controlled Oscillator
- Author
-
Das, Sounak, Sen, Subhajit, Angrisani, Leopoldo, Series Editor, Arteaga, Marco, Series Editor, Panigrahi, Bijaya Ketan, Series Editor, Chakraborty, Samarjit, Series Editor, Chen, Jiming, Series Editor, Chen, Shanben, Series Editor, Chen, Tan Kay, Series Editor, Dillmann, Rüdiger, Series Editor, Duan, Haibin, Series Editor, Ferrari, Gianluigi, Series Editor, Ferre, Manuel, Series Editor, Hirche, Sandra, Series Editor, Jabbari, Faryar, Series Editor, Jia, Limin, Series Editor, Kacprzyk, Janusz, Series Editor, Khamis, Alaa, Series Editor, Kroeger, Torsten, Series Editor, Li, Yong, Series Editor, Liang, Qilian, Series Editor, Martín, Ferran, Series Editor, Ming, Tan Cher, Series Editor, Minker, Wolfgang, Series Editor, Misra, Pradeep, Series Editor, Möller, Sebastian, Series Editor, Mukhopadhyay, Subhas, Series Editor, Ning, Cun-Zheng, Series Editor, Nishida, Toyoaki, Series Editor, Pascucci, Federica, Series Editor, Qin, Yong, Series Editor, Seng, Gan Woon, Series Editor, Speidel, Joachim, Series Editor, Veiga, Germano, Series Editor, Wu, Haitao, Series Editor, Zamboni, Walter, Series Editor, Zhang, Junjie James, Series Editor, Mishra, Biswajit, editor, Mathew, Jimson, editor, and Patra, Priyadarsan, editor
- Published
- 2022
- Full Text
- View/download PDF
16. Sensors, Actuators, and Hardware Accelerators
- Author
-
Chakravarthi, Veena S. and Chakravarthi, Veena S.
- Published
- 2021
- Full Text
- View/download PDF
17. A Robust Architecture Based on Adaptive Recursive Filter for Gigabit Communications
- Author
-
Roopa, Kothapalli, Siva Krishna Addagattu, R. V., Sunil Kumar, Kuppili, Angrisani, Leopoldo, Series Editor, Arteaga, Marco, Series Editor, Panigrahi, Bijaya Ketan, Series Editor, Chakraborty, Samarjit, Series Editor, Chen, Jiming, Series Editor, Chen, Shanben, Series Editor, Chen, Tan Kay, Series Editor, Dillmann, Rüdiger, Series Editor, Duan, Haibin, Series Editor, Ferrari, Gianluigi, Series Editor, Ferre, Manuel, Series Editor, Hirche, Sandra, Series Editor, Jabbari, Faryar, Series Editor, Jia, Limin, Series Editor, Kacprzyk, Janusz, Series Editor, Khamis, Alaa, Series Editor, Kroeger, Torsten, Series Editor, Liang, Qilian, Series Editor, Martín, Ferran, Series Editor, Ming, Tan Cher, Series Editor, Minker, Wolfgang, Series Editor, Misra, Pradeep, Series Editor, Möller, Sebastian, Series Editor, Mukhopadhyay, Subhas, Series Editor, Ning, Cun-Zheng, Series Editor, Nishida, Toyoaki, Series Editor, Pascucci, Federica, Series Editor, Qin, Yong, Series Editor, Seng, Gan Woon, Series Editor, Speidel, Joachim, Series Editor, Veiga, Germano, Series Editor, Wu, Haitao, Series Editor, Zhang, Junjie James, Series Editor, Chowdary, P. Satish Rama, editor, Chakravarthy, V.V.S.S.S., editor, Anguera, Jaume, editor, Satapathy, Suresh Chandra, editor, and Bhateja, Vikrant, editor
- Published
- 2021
- Full Text
- View/download PDF
18. Low-Power High-Speed On-Chip 5 to 1 Serializer in 180 nm Technology
- Author
-
Menssouri, Aicha, El Khadiri, Karim, Qjidaa, Hassan, Lakhssassi, Ahmed, Tahiri, Ahmed, Kacprzyk, Janusz, Series Editor, Gomide, Fernando, Advisory Editor, Kaynak, Okyay, Advisory Editor, Liu, Derong, Advisory Editor, Pedrycz, Witold, Advisory Editor, Polycarpou, Marios M., Advisory Editor, Rudas, Imre J., Advisory Editor, Wang, Jun, Advisory Editor, Motahhir, Saad, editor, and Bossoufi, Badre, editor
- Published
- 2021
- Full Text
- View/download PDF
19. Implementation of Low-Power High-Speed Clock and Data Recovery
- Author
-
Kumar, K. J., Raganna, A., Kacprzyk, Janusz, Series Editor, Pal, Nikhil R., Advisory Editor, Bello Perez, Rafael, Advisory Editor, Corchado, Emilio S., Advisory Editor, Hagras, Hani, Advisory Editor, Kóczy, László T., Advisory Editor, Kreinovich, Vladik, Advisory Editor, Lin, Chin-Teng, Advisory Editor, Lu, Jie, Advisory Editor, Melin, Patricia, Advisory Editor, Nedjah, Nadia, Advisory Editor, Nguyen, Ngoc Thanh, Advisory Editor, Wang, Jun, Advisory Editor, Mallick, Pradeep Kumar, editor, Bhoi, Akash Kumar, editor, Marques, Gonçalo, editor, and Hugo C. de Albuquerque, Victor, editor
- Published
- 2021
- Full Text
- View/download PDF
20. Low-Latency Adiabatic Quantum-Flux-Parametron Circuit Integrated With a Hybrid Serializer/Deserializer
- Author
-
Yuki Hironaka, Taiki Yamae, Christopher L. Ayala, Nobuyuki Yoshikawa, and Naoki Takeuchi
- Subjects
AQFP ,high frequency ,low power ,SerDes ,superconductor digital electronics ,Electrical engineering. Electronics. Nuclear engineering ,TK1-9971 - Abstract
Adiabatic quantum-flux-parametron (AQFP) logic is an ultra-low-power superconductor logic family. AQFP logic gates are powered and clocked by dedicated clocking schemes using ac excitation currents to implement an energy-efficient switching process, adiabatic switching. We have proposed a low-latency clocking scheme, delay-line clocking, and demonstrated basic AQFP logic gates. In order to test more complex circuits, a serializer/deserializer (SerDes) should be incorporated into the AQFP circuit under test, since the number of input/output (I/O) cables is limited by equipment. Therefore, in the present study we propose and develop a novel SerDes for testing delay-line-clocked AQFP circuits by combining AQFP and rapid single-flux-quantum (RSFQ) logic families, which we refer to as the AQFP/RSFQ hybrid SerDes. The hybrid SerDes comprises RSFQ shift registers to facilitate the data storage during serial-to-parallel and parallel-to-serial conversion. Furthermore, all the component circuits in the hybrid SerDes are clocked by the identical excitation current to synchronize the AQFP and RSFQ parts. We fabricate and demonstrate a delay-line-clocked AQFP circuit (8-to-3 encoder, which is the largest delay-line-clocked circuit ever designed) integrated with the hybrid SerDes at 4.2 K up to 4.5 GHz. Our measurement results indicate that the hybrid SerDes enables the testing of delay-line-clocked AQFP circuits with only a few I/O cables and is thus a powerful tool for the development of very large-scale integration AQFP circuits.
- Published
- 2022
- Full Text
- View/download PDF
21. Design and Implementation of an On-Demand Maximum-Likelihood Sequence Estimation (MLSE)
- Author
-
Mohammad Emami Meybodi, Hector Gomez, Yu-Chun Lu, Hossein Shakiba, and Ali Sheikholeslami
- Subjects
DFE burst error ,MLSE ,MLSE on demand ,partial equalization ,SERDES ,wireline ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
This paper proposes a novel design for Maximum Likelihood Sequence Estimation (MLSE) used in ultra-high-speed wireline communication. We take advantage of the propagated errors caused by Decision-Feedback Equalizer (DFE) to activate and guide the MLSE, thereby reducing its complexity. The design is customized for a 4-PAM, 1 + D signaling system, and synthesized in 16nm FinFET TSMC Technology. For comparison purposes, a conventional MLSE is also synthesized in the same technology. The synthesis report confirms that the proposed design consumes 1/10 of the power and occupies 1/15 of the area required by the conventional MLSE while having a comparable bit error rate.
- Published
- 2022
- Full Text
- View/download PDF
22. Design Methodologies and Automated Generation of Ultra High Speed Wireline SerDes Transmitters
- Author
-
Biswas, Ayan
- Subjects
Electrical engineering ,Engineering ,Analog and Mixed Signal ,Automated Layout Generation ,BAG (Berkeley Analog Generator) ,Closed Loop Design Automation ,SerDes ,Wireline Communications - Abstract
With the ever increasing bandwidth demand in high performance computing, network and communications, machine learning applications, etc, wireline data transfer between multiple chips on the same package has been doubling in per-lane data rate every 3-4 years. The challenging design complexity of the analog and mixed signal front-end circuits necessitates the use of automated process portable layout generators and closed loop design scripts to reduce the turn-around time from circuit design to tape-outs in advanced FinFET technology nodes. To that end, this thesis introduces new feature improvements in the Berkeley Analog Generator (BAG) 3++, which is an open source framework for automating process portable circuit generation and encoding closed loop design methodologies. Then the thesis presents the design of a 160 Gbps NRZ transmitter (TX) targeting low loss (~3dB) ultra short reach channels for die-to-die data transfer, with 2 tap FFE for pre-equalization. Some major challenges in this effort are the design of a high speed 8:1 mux stage using inductor-based peaking topologies, and a novel method of creating the 1 UI delayed data stream for the FFE precursor using the available octature clock phases. The TX is taped out in Intel16 process as a part of a complete 160 Gbps NRZ transceiver design, and tested in loopback mode by serially transmitting data to the receiver over a 8.5 mm differential channel on package.
- Published
- 2023
23. Prototyping Using Single and Multiple FPGAs
- Author
-
Taraate, Vaibbhav and Taraate, Vaibbhav
- Published
- 2020
- Full Text
- View/download PDF
24. Specialized Chips for Telecommunication Systems
- Author
-
Belous, Anatoly, Saladukha, Vitali, Belous, Anatoly, and Saladukha, Vitali
- Published
- 2020
- Full Text
- View/download PDF
25. Wired Interfaces of High-Speed Electronic Devices
- Author
-
Belous, Anatoly, Saladukha, Vitali, Belous, Anatoly, and Saladukha, Vitali
- Published
- 2020
- Full Text
- View/download PDF
26. A PAM-8 Wireline Transceiver With Linearity Improvement Technique and a Time-Domain Receiver Side FFE in 65 nm CMOS.
- Author
-
Chun, Yusang, Megahed, Mohamed, Ramachandran, Ashwin, and Anand, Tejasvi
- Subjects
PULSE width modulation transformers ,PULSE width modulation ,SIGNAL-to-noise ratio ,SIGNAL processing - Abstract
This article presents a pulse-amplitude-modulated (PAM)-8 wireline transceiver with receiver-side pulsewidth-modulated (PWM) or time-domain-based feedforward equalization (FFE) technique. The receiver converts the voltage-modulated signals or PAM signals into PWM signals and processes them using inverter-based delay elements having a rail-to-rail voltage swing. Time-to-voltage and voltage-to-time converters are designed to have non-linearity with opposite signs with the aim of achieving higher front-end linearity on the receiver. The proposed PAM-8 transceiver can operate from 12.0 to 39.6 Gb/s and compensates 14-dB loss at 6.6 GHz with an efficiency of 8.66 pJ/bit in 65-nm CMOS. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
27. Interconnect Delays and Timing
- Author
-
Taraate, Vaibbhav and Taraate, Vaibbhav
- Published
- 2019
- Full Text
- View/download PDF
28. SOC Prototyping Guidelines
- Author
-
Taraate, Vaibbhav and Taraate, Vaibbhav
- Published
- 2019
- Full Text
- View/download PDF
29. COTS in Space: Constraints, Limitations and Disruptive Capability
- Author
-
Pignol, Michel, Malou, Florence, Aicardi, Corinne, Velazco, Raoul, editor, McMorrow, Dale, editor, and Estela, Jaime, editor
- Published
- 2019
- Full Text
- View/download PDF
30. Design Techniques for High-Speed Wireline Transmitters
- Author
-
Behzad Razavi
- Subjects
SERDES ,serial links ,multiplexers ,oscillators ,phase noise ,crystal oscillators ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
Wireline transmitters operating at tens of gigabits per second pose challenging design issues ranging from limited bandwidths to severe sensitivity to jitter. This paper presents a number of analog and digital circuit techniques that allow data rates as high as 80 Gb/s in 45-nm CMOS technology. A PAM4 prototype delivers an output swing of 630 mV $_{pp}$ with a clock jitter of 205 fs $_{rms}$ while drawing 44 mW.
- Published
- 2021
- Full Text
- View/download PDF
31. Timing Recovery and Adaptive Equalization for Discrete Multi-Tone Signalling in Wireline Applications
- Author
-
Jeremy Cosson-Martin, Hossein Shakiba, and Ali Sheikholeslami
- Subjects
Adaptive equalization ,clock and data recovery (CDR) ,decision-directed equalization ,discrete multi-tone (DMT) ,orthogonal frequency division multiplexing (OFDM) ,SERDES ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
This paper proposes a discrete multi-tone timing-recovery system with adaptive equalization for ultra-high-speed wireline applications. It combines frequency-domain clock recovery with decision-directed equalization to improve receiver performance while eliminating the need for pilot carriers, thereby increasing spectral efficiency. Compared to a conventional pilot-carrier-based technique employing four pilot carriers and a 32-point FFT, this approach improves phase-error sensitivity by 3.6 times, tracking bandwidth by 1.7 times, increases the jitter tolerance slope by $20dB$ per decade at low frequency, and removes residual equalization error, resulting in an overall data-rate increase of 27%. The concept is validated at the system-level and gate-level through synthesis in an FPGA. A convergence analysis of both the adaptive equalizer and clock synchronization shows the system’s ability to mitigate error propagation and remain synchronized in the presence of impairments. Finally, we highlight the system’s ability to trade-off clock convergence versus phase error sensitivity. Either parameter can be adjusted by 15 times, optimizing the receiver over a broad range of signal conditions.
- Published
- 2021
- Full Text
- View/download PDF
32. An Output Bandwidth Optimized 200-Gb/s PAM-4 100-Gb/s NRZ Transmitter With 5-Tap FFE in 28-nm CMOS.
- Author
-
Wang, Zhongkai, Choi, Minsoo, Lee, Kyoungtae, Park, Kwanseo, Liu, Zhaokai, Biswas, Ayan, Han, Jaeduk, Du, Sijun, and Alon, Elad
- Subjects
TRANSMITTERS (Communication) ,BANDWIDTHS ,SIGNAL processing ,COMPUTER architecture ,PULSE generators - Abstract
This article presents a 200-Gb/s pulse amplitude-modulation four-level (PAM-4) and 100-Gb/s non-return-to-zero (NRZ) transmitter (TX) in 28-nm CMOS technology. To achieve the target data rate, the output bandwidth and swing of the proposed TX are optimized by minimizing the output capacitance of the 4:1 multiplexer (MUX) and driver stage with pull-up current sources and adopting a fully reconfigurable 5-tap feed-forward equalizer (FFE). The key circuit includes a segmented 8:4 MUX and 4:1 MUX/driver, a thermal encoder and retimer, and a flexible clock distribution network. Using the layout generated with Berkeley Analog Generator (BAG), the proposed TX achieves an eye opening with >52.9-mV eye height, 0.36 UI eye width, >98% RLM, and 4.63 pJ/b at 200-Gb/s PAM-4 signaling under >6-dB channel loss at 50 GHz, demonstrating the highest data rate achieved using a planar process. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
33. A 224-Gb/s DAC-Based PAM-4 Quarter-Rate Transmitter With 8-Tap FFE in 10-nm FinFET.
- Author
-
Kim, Jihwan, Kundu, Sandipan, Balankutty, Ajay, Beach, Matthew, Kim, Bong Chan, Kim, Stephen T., Liu, Yutao, Murthy, Savyasaachi Keshava, Wali, Priya, Yu, Kai, Kim, Hyung Seok, Liu, Chuan-Chang, Shin, Dongseok, Cohen, Ariel, Segal, Yoav, Fan, Yongping, Li, Peng, and O'Mahony, Frank
- Subjects
DIGITAL-to-analog converters ,PULSE amplitude modulation ,TRANSMITTERS (Communication) ,PULSE generators ,PHASE-locked loops ,VOLTAGE-frequency converters - Abstract
This article presents analysis, design details, and measurement result of a 224-Gb/s four-level pulse amplitude modulation (PAM-4) transmitter (TX) consisting of a 7-bit voltage digital-to-analog converter (DAC) driver, digital 8-tap feed-forward equalizer (FFE), and a 28-GHz inductively peaked clock distribution network. The TX DAC uses quarter-rate clocking with a 4:1 pulse-based data serialization architecture. Design techniques for generating and distributing low-jitter CMOS clocks up to 29 GHz, timing closure in the serializer, 112-Gbaud 4:1 data MUX using 1-UI pulse generator, and bandwidth/return loss/group delay optimized output pad network using a 9th-order LC filter are described. Fabricated in the Intel 10-nm FinFET process technology, the TX demonstrates random jitter (RJ) of 65 fsrms with nominal output swing of $1.0~V_{\mathrm {ppd}}$ at 224 Gb/s achieving 1.88-pJ/b energy efficiency including an on-die LC phase-locked loop (PLL). To the best of authors’ knowledge, this TX achieved the highest data rate with the lowest RJ for CMOS SerDes TXs reported to date. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
34. A Fully Integrated 5-mW, 0.8-Gbps Energy-Efficient Chip-to-Chip Data Link for Ultralow-Power IoT End-Nodes in 65-nm CMOS.
- Author
-
Okuhara, Hayate, Elnaqib, Ahmed, Dazzi, Martino, Palestri, Pierpaolo, Benatti, Simone, Benini, Luca, and Rossi, Davide
- Subjects
DATA transmission systems ,INTERNET of things ,WIRELESS sensor networks ,MICROCONTROLLERS ,ENERGY consumption ,SYSTEMS on a chip ,ALGORITHMS - Abstract
The increasing complexity of Internet-of-Things (IoT) applications and near-sensor processing algorithms is pushing the computational power of low-power, battery-operated end-node systems. This trend also reveals growing demands for high-speed and energy-efficient inter-chip communications to manage the increasing amount of data coming from off-chip sensors and memories. While traditional microcontroller interfaces such as SPIs cannot cope with tight energy and large bandwidth requirements, low-voltage swing transceivers can tackle this challenge, thanks to their capability to achieve several Gbps of the communication speed at milliwatt power levels. However, recent research on high-speed serial links focused on high-performance systems, with a power consumption significantly larger than the one of low-power IoT end-nodes, or on stand-alone designs not integrated at a system level. This article presents a low-swing transceiver for the energy-efficient and low-power chip-to-chip communication fully integrated within an IoT end-node system-on-chip, fabricated in CMOS 65-nm technology. The transceiver can be easily controlled via a software interface; thus, we can consider realistic scenarios for the data communication, which cannot be assessed in stand-alone prototypes. Chip measurements show that the transceiver achieves $8.46\times $ higher energy efficiency at $15.9\times $ higher performance than a traditional microcontroller interface such as a single-SPI. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
35. A Programmable Pre-emphasis Transmitter for SerDes in 40 nm CMOS
- Author
-
Tan, Hongbing, Chen, Haiyan, Liu, Sheng, Ma, Xikun, Chi, Yaqing, Barbosa, Simone Diniz Junqueira, Series editor, Chen, Phoebe, Series editor, Filipe, Joaquim, Series editor, Kotenko, Igor, Series editor, Sivalingam, Krishna M., Series editor, Washio, Takashi, Series editor, Yuan, Junsong, Series editor, Zhou, Lizhu, Series editor, Xu, Weixia, editor, Xiao, Liquan, editor, Li, Jinwen, editor, Zhang, Chengyi, editor, and Zhu, Zhenzhen, editor
- Published
- 2018
- Full Text
- View/download PDF
36. Design and implementation of SPCB-based processor directly connected low delay PCS
- Author
-
Wu Jianxiao, Wang Peng, Wu Tao, Gao Peng, and Chen Wentao
- Subjects
synchronous phase compensation buffer(spcb) ,pcs ,serdes ,low delay ,processor direct connection ,Electronics ,TK7800-8360 - Abstract
SERDES(serial de-serialization) technology has become the mainstream physical layer specification of high-speed interface due to its high transmission rate and strong capacity of resisting disturbance. However, the upper PCS(physical coding sublayer) needs to set elastic buffering, code, encode and do other functions, so the system transmission delay is high. SERDES cannot be directly applied to delay sensitive applications such as processor direct connection. This paper introduces a design of PCS architecture based on synchronous phase compensation buffer(SPCB), which can be applied to delay sensitive SERDES transmission system. This architecture features high throughput and ultra-low latency. With a custom SPCB, the transmission reception path delay is about 10 ns at 32 Gb/s per lane, which is about half of the typical PCS delay in the industry, reaching the level of Intel(QPI) and AMD(HT) interface. This PCS architecture can be realized through 28 nm/16 nm/7 nm chip manufacturing tech, and has been applied to a variety of domestic processor.
- Published
- 2019
- Full Text
- View/download PDF
37. ADC-DSP-Based 10-to-112-Gb/s Multi-Standard Receiver in 7-nm FinFET.
- Author
-
Lin, Haidang, Boecker, Charlie, Hossain, Masum, Tangirala, Shankar, Vu, Roxanne, Vamvakos, Socrates D., Groen, Eric, Li, Simon, Choudhary, Prashant, Wang, Nanyan, Shibata, Masumi, Taghavi, Hossein, van Ierssel, Marcus, Maniyar, AdilHussain, Wodkowski, Adam, Brar, Kulwant, Nguyen, Nhat, and Desai, Shaishav
- Subjects
DECISION feedback equalizers ,SIGNAL-to-noise ratio ,ENERGY consumption ,SUCCESSIVE approximation analog-to-digital converters ,ANALOG-to-digital converters - Abstract
This article describes a 4 × 112 Gb/s digital receiver targeting long-reach (LR) channels. An SNR optimized approach is presented, which relaxes the ADC resolution requirement and the number of FFE taps without sacrificing BER. The discrete-time front end overcomes gain–BW limitations to provide 10+ dB gain at 28 GHz. A 56-GS/s ADC then converts the signal to 6-b digital consuming only 195 mW. The following DFE-FFE-based digital equalizer is capable of compensating 36-dB loss achieving a BER of 2e−5. Furthermore, TDC and ISI filter-based low-latency timing recovery meet jitter tolerance specs over a wide range of data rates from 10 to 112 Gb/s, including 28-Gb/s NRZ. The overall receiver consumes 338 mW with 3.18-pJ/bit energy efficiency. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
38. Worst-Case Eye Analysis of High-Speed Channels Based on Bayesian Optimization.
- Author
-
Dolatsara, Majid Ahadi, Hejase, Jose Ale, Becker, Wiren Dale, Kim, Jinwoo, Lim, Sung Kyu, and Swaminathan, Madhavan
- Subjects
- *
GRAY codes , *MAXIMA & minima , *LINEAR systems , *NONLINEAR equations , *HIGH voltages - Abstract
One of the favorable tools for signal integrity evaluation is eye diagram analysis. This is traditionally performed with a lengthy transient simulation, which can be prohibitively time consuming for complex high-speed channels with a low bit error rate. Methods for eye estimation exist; however, they are either only applicable to linear time-invariant systems or have lack in accuracy or efficiency. In this article, an optimization-based approach is proposed to quickly obtain the worst-case eye diagram characteristics. This approach focuses on the inter-symbol interference since its effect can span over many symbols and include crosstalk, making it challenging to model. In this article, the data patterns leading to the lowest voltage corresponding to a high symbol, the highest voltage corresponding to a low symbol, and the times of minimum and maximum level crossing points are calculated. Then, eye height, eye width, and the worst-case eye opening are estimated using these points. To reduce complexity, the proposed approach includes a mapping algorithm that exploits the Gray code. Additionally, Bayesian optimization is used because of its efficiency and good performance on non-linear and non-convex problems. Finally, the application of the proposed approach to high-speed SerDes channels, and channels in system-on-package designs is evaluated with numerical examples, where the results show its accuracy and efficiency. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
39. An iPWM Line-Coding-Based Wireline Transceiver With Clock -Domain Encoding for Compensating Up To 27-dB Loss While Operating at 0.5-to-0.9 V and 3-to-16 Gb/s in 65-nm CMOS.
- Author
-
Ramachandran, Ashwin, Chun, Yusang, Megahed, Mohamed, and Anand, Tejasvi
- Subjects
DECISION feedback equalizers ,ENCODING ,ENERGY dissipation ,LOW voltage systems ,PULSE width modulation - Abstract
This article presents a clock-domain-based integrated pulsewidth modulation (PWM) (iPWM) line-coding scheme to enable equalization while operating at low supply voltages. While conventional equalizers such as feedforward equalizer (FFE), decision feedback equalizer (DFE), and continuous-time linear equalizer (CTLE) are present on the high-bandwidth data path, the proposed iPWM-based line-coding-based approach moved the equalization logic away from the data path and into the subrate clock path. As a result, the proposed clock-domain iPWM line coding can operate at low supply voltages. An energy-efficient implementation of the proposed scheme was demonstrated. Fabricated in a 65-nm CMOS process, the proposed transceiver operates over a data-rate range of 3–16 Gb/s from 0.5 to 0.9 V. Equalization in this transceiver was done using iPWM and a passive CTLE. Operating at 10 Gb/s at 0.65 V, the proposed transceiver is capable of equalizing up to 27 dB of channel loss at energy efficiency of 1.8 pJ/bit. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
40. A Novel CML Latch-Based Wave-Pipelined Asynchronous SerDes Transceiver for Low-Power Application.
- Author
-
Kumawat, Mahesh, Choudhary, Mohit Singh, Kumar, Ravi, Singh, Gaurav, and Vishvakarma, Santosh Kumar
- Subjects
- *
FREQUENCY synthesizers , *ASYNCHRONOUS circuits , *CHRONIC myeloid leukemia , *PHASE-locked loops , *DATA transmission systems , *POWER transmission - Abstract
In the present technology development billions of transistors are fabricated on a single chip, which improves the performance of circuits in terms of high data transmission speed and power consumption. This requirement of data transmission speed is achieved with the help of high-speed transceivers. In this paper, we present a high-speed asynchronous wave-pipelined serializer and deserializer (SerDes) transceiver implemented using current-mode logic (CML). This asynchronous transceiver circuit does not require a clock and therefore it saves large amount of power which is consumed in the phase locked loop (PLL) and frequency synthesizer circuits. Further, the proposed design is built using CML which saves more power. CML circuit operates at relatively higher speed as compared to CMOS circuits which helps the circuit to operate at higher data rate. Compared to conventional CML latch, a novel CML latch is proposed in our design to increase the speed. The circuit is implemented in standard CMOS 65-nm technology. The total power consumed by the serializer and deserializer is 9.32 mW, which is very less as compared to published related works. The proposed asynchronous SerDes transceiver operates at 18.1-Gbps data transmission rate with low power dissipation. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
41. A 1.02-pJ/b 20.83-Gb/s/Wire USR Transceiver Using CNRZ-5 in 16-nm FinFET.
- Author
-
Tajalli, Armin, Bastani Parizi, Mani, Carnelli, Dario Albino, Cao, Chen, Gharibdoust, Kiarash, Gorret, Davide, Gupta, Amit, Hall, Christopher, Hassanin, Ahmed, Hofstra, Klaas L., Holden, Brian, Hormati, Ali, Keay, John, Mogentale, Yohann, Perrin, Victor, Phillips, John, Raparthy, Sumathi, Shokrollahi, Amin, Stauffer, David, and Simpson, Richard
- Subjects
NYQUIST frequency ,ERROR correction (Information theory) ,CROSSTALK ,TRANSMITTERS (Communication) - Abstract
An energy-efficient (1.02 pJ/b) and high-speed (20.83 Gb/s/wire, 417 Gb/s/mm) link for ultra-short reach (USR) applications (up to 6-dB channel loss at the Nyquist frequency of 12.5 GHz) is presented. Correlated non-return to zero (CNRZ) signaling with low sensitivity to inter-symbol interference (ISI) has been developed to improve the link budget. In addition to high pin efficiency (5b6w: 5 bits over 6 wires), the proposed signaling method provides very good resistance against common-mode and crosstalk noise sources, allowing for dense routing. A very wide-band (1.3 GHz) jitter tracking mechanism has been employed to reduce the sensitivity of the system to random and deterministic jitter and relax design constraints on transmitter. A slicer with low kick-back noise and a circuit topology well matched to the continuous-time linear equalizer (CTLE) has been designed to provide both high input sensitivity and Process, supply Voltage, and Temperature (PVT) variations tolerance. The link operates with more than 22-ps (42.5% UI) eye opening at BER = 1E-15. Calibration loops are running in background for quadrature mismatch error correction, clock and data alignment (CDA), and offset removal. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
42. A 161-mW 56-Gb/s ADC-Based Discrete Multitone Wireline Receiver Data-Path in 14-nm FinFET.
- Author
-
Kim, Gain, Kossel, Marcel, Cevrero, Alessandro, Ozkaya, Ilter, Burg, Andreas, Toifl, Thomas, Leblebici, Yusuf, Kull, Lukas, Luu, Danny, Braendli, Matthias, Menolfi, Christian, Francese, Pier-Andrea, Yueksel, Hazar, Aprile, Cosimo, and Morf, Thomas
- Subjects
DIGITAL signal processing ,ANALOG-to-digital converters ,DISCRETE Fourier transforms ,SUCCESSIVE approximation analog-to-digital converters ,QUADRATURE amplitude modulation ,ENERGY consumption ,TIME-domain analysis - Abstract
This article introduces a wireline receiver (RX) data-path employing discrete multi-tone (DMT) modulation for communicating over electrical links. The DMT RX incorporates a fully digital equalization data-path, with a synthesized and automatically placed and routed digital signal processor (DSP) following a 10-bit time-interleaved pipelined successive-approximation register analog-to-digital converter (TI-PISAR ADC). The prototype RX chip implemented in a 14-nm FinFET process demonstrates a lane data rate of 56 Gb/s dissipating 161 mW including the ADC and the DSP power. The energy efficiency of 1.2 pJ/b for the DSP and 2.9 pJ/b for the entire RX was achieved with the data-rate of 56 Gb/s for communicating over channels exhibiting up to 28-dB loss at 14 GHz with a bit-error-rate (BER) better than 2e-4. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
43. Video Interface Technology
- Author
-
Gutzmer, Rainer, Hammoud, Riad I., Series editor, Wolff, Lawrence B., Series editor, and Terzis, Anestis, editor
- Published
- 2016
- Full Text
- View/download PDF
44. Physical design of a RISC-V processor with accelerators chip in 22nm FDSOI technology
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Moll Echeto, Francisco de Borja, Alonso Casanovas, Oscar, Aguiló Domínguez, David, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Moll Echeto, Francisco de Borja, Alonso Casanovas, Oscar, and Aguiló Domínguez, David
- Abstract
This thesis contains the physical design for the Kameleon chip as well as for its processing cores IP. The Kameleon chip is a digital SoC containing 2 cores as well as multiple accelerators developed by the DRAC partnership. Firstly, we explain our goals for the working frequencies of the finished design, as well as a description of the different IPs to be integrated within it and their functions. Then a step by step explanation of the physical designs for the Cores IP and Kameleon SoC is presented. Lastly an analysis is made of the physical designs, where we find out that we have managed to make a working design even if the frequencies that can be achieved are a bit lower than what we had hoped for.
- Published
- 2023
45. Modeling, Simulation, and Injection of Camera Images/Video to Automotive Embedded ECU : Image Injection Solution for Hardware-in-the-Loop Testing
- Author
-
Lind, Anton and Lind, Anton
- Abstract
Testing, verification and validation of sensors, components and systems is vital in the early-stage development of new cars with computer-in-the-car architecture. This can be done with the help of the existing technique, hardware-in-the-loop (HIL) testing which, in the close loop testing case, consists of four main parts: Real-Time Simulation Platform, Sensor Simulation PC, Interface Unit (IU), and unit under test which is, for instance, a Vehicle Computing Unit (VCU). The purpose of this degree project is to research and develop a proof of concept for in-house development of an image injection solution (IIS) on the IU in the HIL testing environment. A proof of concept could confirm that editing, customizing, and having full control of the IU is a possibility. This project was initiated by Volvo Cars to optimize the use of the HIL testing environment currently available, making the environment more changeable and controllable while the IIS remains a static system. The IU is an MPSoC/FPGA based design that uses primarily Xilinx hardware and software (Vivado/Vitis) to achieve the necessary requirements for image injection in the HIL testing environment. It consists of three stages in series: input, image processing, and output. The whole project was divided in three parts based on the three stages and carried out at Volvo Cars in cooperation by three students, respectively. The author of this thesis was responsible for the output stage, where the main goal was to find a solution for converting, preferably, AXI4 RAW12 image data into data on CSI2 format. This CSI2 data can then be used as input to serializers, which in turn transmit the data via fiber-optic cable on GMSL2 format to the VCU. Associated with the output stage, extensive simulations and hardware tests have been done on a preliminary solution that partially worked on the hardware, producing signals in parts of the design that could be read and analyzed. However, a final definite solution that fully function
- Published
- 2023
46. Sargantana: an academic SoC RISC-V processor in 22nm FDSOI technology
- Author
-
Universitat Politècnica de Catalunya. Doctorat en Arquitectura de Computadors, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Barcelona Supercomputing Center, Universitat Politècnica de Catalunya. EFRICS - Efficient and Robust Integrated Circuits and Systems, Doblas Font, Max, Candón Arenas, Gerard, Carril Gil, Xavier, Dominguez de la Rocha, Marc, Erra, Enric, González Trejo, Alberto, Jiménez, Víctor, Kostalampros, Ioannis-Vatistas, Langarita Benítez, Rubén, Leyva Santes, Neiel, López Paradís, Guillem, Mendoza Escobar, Jonnatan, Oltra Oltra, Josep Angel, Pavón Rivera, Julián, Ramírez Lazo, Cristóbal, Rodas Quiroga, Narcís, Reggiani, Enrico, Rodriguez, Mario, Rojas Morales, Carlos, Ruiz Ramirez, Abraham Josafat, Safadi Figueroa, Hugo Ernesto, Soria Pardos, Víctor, Vargas Valdivieso, Iván, Arreza, Fernando, Figueras Bagué, Roger, Fontova Muste, Pau, Marimon Illana, Joan, Aragonès Cervera, Xavier, Cristal Kestelman, Adrián, Mateo Peña, Diego, Moll Echeto, Francisco de Borja, Moretó Planas, Miquel, Palomar Pérez, Óscar, Sonmez, Nehir, Unsal, Osman Sabri, Valero Cortés, Mateo, Universitat Politècnica de Catalunya. Doctorat en Arquitectura de Computadors, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Barcelona Supercomputing Center, Universitat Politècnica de Catalunya. EFRICS - Efficient and Robust Integrated Circuits and Systems, Doblas Font, Max, Candón Arenas, Gerard, Carril Gil, Xavier, Dominguez de la Rocha, Marc, Erra, Enric, González Trejo, Alberto, Jiménez, Víctor, Kostalampros, Ioannis-Vatistas, Langarita Benítez, Rubén, Leyva Santes, Neiel, López Paradís, Guillem, Mendoza Escobar, Jonnatan, Oltra Oltra, Josep Angel, Pavón Rivera, Julián, Ramírez Lazo, Cristóbal, Rodas Quiroga, Narcís, Reggiani, Enrico, Rodriguez, Mario, Rojas Morales, Carlos, Ruiz Ramirez, Abraham Josafat, Safadi Figueroa, Hugo Ernesto, Soria Pardos, Víctor, Vargas Valdivieso, Iván, Arreza, Fernando, Figueras Bagué, Roger, Fontova Muste, Pau, Marimon Illana, Joan, Aragonès Cervera, Xavier, Cristal Kestelman, Adrián, Mateo Peña, Diego, Moll Echeto, Francisco de Borja, Moretó Planas, Miquel, Palomar Pérez, Óscar, Sonmez, Nehir, Unsal, Osman Sabri, and Valero Cortés, Mateo
- Abstract
This paper describes the Sargantana System on chip (SoC), a 64-bit RISC-V single core processor designed by a number of academic institutions and manufactured in 22 nm FDSOI technology: BSC, UPC, UB, UAB, CIC-IPN and IMB-CNM (CSIC). The SoC includes the processor as well as, among other components, a Phase Locked Loop (PLL) operating up to 2 GHz, interfaces to HyperRAM and a Serdes up to 8 Gbps. The processor has demonstrated experimental correct operation at 800 MHz., The DRAC project is co-financed by the European Union Regional Development Fund within the framework of the ERDF Operational Program of Catalonia 2014-2020 with a grant of 50% of total eligible cost. The authors are part of RedRISCV which promotes activities around open hardware. The Lagarto Project is supported by the Research and Graduate Secretary (SIP) of the Instituto Politécnico Nacional (IPN) from Mexico, and by the CONACyT scholarship for Center for Research in Computing (CIC-IPN)., Peer Reviewed, Article signat per 48 autors/es: Max Doblas∗, Gerard Candón∗, Xavier Carril∗, Marc Domínguez∗, Enric Erra∗, Alberto González∗, César Hernández†, Víctor Jiménez∗, Vatistas Kostalampros∗, Rubén Langarita∗, Neiel Leyva†, Guillem López-Paradís∗, Jonnatan Mendoza∗, Josep Oltra∗, Julián Pavón∗, Cristóbal Ramírez∗, Narcís Rodas∗, Enrico Reggiani∗, Mario Rodríguez∗, Carlos Rojas∗, Abraham Ruiz∗, Hugo Safadi∗, Víctor Soria∗, Alejandro Suanes‡, Iván Vargas∗, Fernando Arreza∗, Roger Figueras∗, Pau Fontova-Musté∗, Joan Marimon∗, Ricardo Martínez‡, Sergio Moreno¶, Jordi Sacristán‡, Oscar Alonso¶, Xavier Aragonés§, Adrián Cristal∗, Ángel Diéguez¶, Manuel López¶, Diego Mateo§, Francesc Moll∗§, Miquel Moretó∗§, Oscar Palomar∗, Marco A. Ramírez†, Francesc Serra-Graells∥‡, Nehir Sonmez∗, Lluís Terés‡, Osman Unsal∗, Mateo Valero∗§, Luis Villa† / ∗Barcelona Supercomputing Center (BSC), Barcelona, Spain. Email: name.surname@bsc.es; †Centro de Investigación en Computación, Instituto Politécnico Nacional (CIC-IPN), Mexico City, Mexico; ‡Institut de Microelectrònica de Barcelona, IMB-CNM (CSIC), Spain. Email: name.surname@imb-cnm.csic.es; §Universitat Politècnica de Catalunya (UPC), Barcelona, Spain. Email: name.surname@upc.edu; ¶Universitat de Barcelona (UB), Barcelona, Spain. Email: name.surname@ub.edu; ∥Universitat Autònoma de Barcelona (UAB), Barcelona, Spain. Email: name.surname@uab.cat, Postprint (author's final draft)
- Published
- 2023
47. Design and Implementation of Serializer/Deserializer (SerDes) For triple Speed Ethernet (MAC)
- Author
-
Vandhana, S., Marjorie, Roji, and Niranjana, S.
- Published
- 2017
- Full Text
- View/download PDF
48. A Framed-Pulsewidth Modulation Transceiver for High-Speed Broadband Communication Links.
- Author
-
Jeon, Sejun, Kwon, Woohyun, Yoon, Jong-Hyeok, Yoon, Taehun, Kwon, Kyeongha, Yang, Jaehyeok, and Bae, Hyeon-Min
- Subjects
- *
BIT error rate , *INSERTION loss (Telecommunication) , *SIGNAL-to-noise ratio , *BROADBAND communication systems , *ELECTRONIC modulation , *TIME-domain analysis - Abstract
A 20 Gb/s serial link transceiver employing a framed-pulsewidth modulation (FPWM) scheme that overcomes the signal-to-noise (SNR) degradation without a linearity requirement is presented. The FPWM scheme encodes data at the location and the width of the pulses in a frame spanning multiple unit intervals (UI) while maintaining a minimum pulsewidth equal to 1 UI. The test chip achieves a coding gain of 33 %, which allows a total throughput of 20 Gb/s while keeping the baud rate of 15 Gb/s. The equalization core incorporating programmable 3-tap pre-emphasis at the transmitter and a continuous-time linear equalizer (CTLE) at the receiver compensates for the channel insertion loss up to 12 dB at the baud frequency, and achieves < 10−12 of bit error rate (BER). The transceiver IC, fabricated in 40 nm CMOS, occupies $2.2\times0.48$ mm 2 and consumes 90.6 mW from a 0.9 V supply which renders the power efficiency of 4.53 mW/Gb/s. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
49. Differential Crosstalk Mitigation in the Pin Field Area of SerDes Channel With Trace Routing Guidance.
- Author
-
Chen, Bichen, Pan, Siming, Wang, Junda, Yong, Shaohui, Ouyang, Muqi, and Fan, Jun
- Subjects
- *
CROSSTALK , *GATE array circuits , *PRINTED circuits , *PERSONAL identification numbers , *TEXTURE mapping - Abstract
Crosstalk noise on the printed circuit board is usually decreased by adding shielding ground (GND). In the trace routing area, the shielding vias are added to isolate the coupling between different traces. In the ball gate array (BGA) and pin field area, assigning more GND pins has demonstrated the effectiveness of crosstalk reduction between signals. However, such design decreases the signal to ground (S:G) ratio dramatically, herein, it is not suitable for applications that require high signal pin density. Unlike the treatment in the conventional methodology, in this paper, the differential crosstalk is mitigated by using the principle of symmetry on two adjacent differential signal pairs in the BGA and pin field regions. New full pin map patterns are proposed and compared with the conventional full pin map patterns. Without sacrificing the S:G ratio, the proposed maps prove the superiority in mitigating both differential far-end and near-end integrated crosstalk noise. To maintain the low crosstalk level in the entire link path, guidance of differential trace routing is provided and demonstrated in the details. All models in this paper satisfy SerDes channel designing and manufacturing requirements. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
50. A 112 Gb/s PAM-4 56 Gb/s NRZ Reconfigurable Transmitter With Three-Tap FFE in 10-nm FinFET.
- Author
-
Kim, Jihwan, Balankutty, Ajay, Dokania, Rajeev K., Elshazly, Amr, Kim, Hyung Seok, Kundu, Sandipan, Shi, Dan, Weaver, Skyler, Yu, Kai, and O'Mahony, Frank
- Subjects
FIELD-effect transistors ,PULSE amplitude modulation ,DIODES - Abstract
This paper presents a reconfigurable 56 GS/s transmitter (TX) that operates up to 112 Gb/s with four-level pulse-amplitude modulation (PAM-4) and at 56 Gb/s with non-return-to-zero (NRZ) modulation scheme. Fabricated in the 10-nm FinFET technology, the TX incorporates a four-way interleaved quarter-rate architecture with a three-tap feed-forward equalizer (FFE). Key features of the TX include a 1-UI pulse-generator-based 4:1 serializer combined with a current-mode logic (CML) driver, low-power data-serializing paths, an output pad-network using a multi-segment $\pi $ -coil for bandwidth co-optimization together with ESD diodes, sub-80-fs resolution duty-cycle detector/corrector (DCD/DCC) and quadrature-error detector/corrector (QED/QEC) circuits, and a hybrid LC-phase-locked loop (PLL) with quadrature clock distribution circuits. The TX operating at 112 Gb/s in PAM-4 modulation consumes 232 mW from 1- and 1.5-V supplies, achieving an 2.07 pJ/b energy efficiency. The TX front end occupies an area of 0.0302 mm2. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.