30 results on '"T. Chiarella"'
Search Results
2. Phase 2 Randomized, Open-Label, Multicenter Study to Evaluate the Efficacy and Safety of Plamotamab Combined with Tafasitamab (Tafa) + Lenalidomide (Len) Vs Tafa+Len in Relapsed or Refractory DLBCL
- Author
-
Krish Patel, Youngil Koh, Sabarish Ayyappan, Yasmin Karimi, Izidore S. Lossos, Akil Merchant, Phuong Lee, Jianhua Jin, Raphael Clynes, Jitendra Kanodia, Michael T. Chiarella, Steve Kye, and Jean-Marie Michot
- Subjects
Immunology ,Cell Biology ,Hematology ,Biochemistry - Published
- 2022
- Full Text
- View/download PDF
3. Total Ionizing Dose Effects ofn-FinFET Transistor in iN14 Technology
- Author
-
L. Artola, T. Chiarella, T. Nuns, G. Cussac, and J. Mitard
- Published
- 2021
- Full Text
- View/download PDF
4. Junction technology challenges and solutions for 3D device architecture
- Author
-
Hans Mertens, Romain Ritzenthaler, A. Peter, T. Chiarella, Naoto Horiguchi, and Yoshiaki Kikuchi
- Subjects
Materials science ,Fabrication ,business.industry ,Transistor ,Hardware_PERFORMANCEANDRELIABILITY ,law.invention ,Cost reduction ,Footprint (electronics) ,Planar ,CMOS ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business ,Scaling ,Communication channel - Abstract
Fabrication cost reduction and performance improvements of state-of-the-art complementary metal–oxide–semiconductor (CMOS) are driving force of device size scaling as well as chip size scaling. To enable continuous device scaling, the device structure was changed from planar field-effect transistors (FETs) to FinFETs shown in Fig. 1 [1] . The FinFETs have the 3D channel shown in Fig. 1 , and it increases on-state current (I on ) per footprint, and suppresses short-channel effects (SCEs) and off-state current (I off ).
- Published
- 2019
- Full Text
- View/download PDF
5. Electrical Characteristics of p-Type Bulk Si Fin Field-Effect Transistor Using Solid-Source Doping With 1-nm Phosphosilicate Glass
- Author
-
S. A. Chew, Zheng Tao, Naoto Horiguchi, Min-Soo Kim, S. Kubicek, Yoshiaki Kikuchi, A. Peter, D. De Roest, Steven Demuynck, Dan Mocuta, Karine Kenis, E. Van Besien, Anda Mocuta, Patrick Ong, A. De Keersgieter, T. Chiarella, Timothee Julien Vincent Blanquart, and Tom Schram
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Silicon ,business.industry ,Transconductance ,Doping ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Secondary ion mass spectrometry ,chemistry ,Impurity ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Phosphosilicate glass - Abstract
For scaling of bulk Si Fin field-effect transistor (FinFET), suppression of short-channel effects is required without ON-state current degradation. In this letter, solid-source doping for channel doping using 1-nm phosphosilicate glass was demonstrated on both p-type (100) Si substrate and p-type bulk Si FinFET. The profile of phosphorus in p-type (100) Si substrate was analyzed by secondary ion mass spectrometry and it was diffused deeper with higher thermal budget of anneal. Fabricated bulk Si FinFETs with using 1-nm phosphosilicate glass showed threshold voltage shift with several anneals at 1- $\mu \text{m}$ and 70-nm gate lengths. Hole mobility at 1- $\mu \text{m}$ gate length and transconductance at 70-nm gate length were also reduced due to increase in impurity concentration of phosphorus diffused by anneals into Fins. Phosphorus diffusion into Fins with using 1-nm phosphosilicate glass was investigated and phosphorus behavior after anneal was clarified by electrical data of p-type bulk Si FinFETs.
- Published
- 2016
- Full Text
- View/download PDF
6. Single and Double Diffusion Breaks in 14nm FinFET and Beyond
- Author
-
Alessio Spessot, Diederik Verkest, T. Chiarella, Kenichi Miyaguchi, Anda Mocuta, Geert Eneman, B. Parvais, F. M. Bufler, Naoto Horiguchi, Philippe Matagne, and An De Keersgieter
- Subjects
Materials science ,Condensed matter physics ,Double diffusion - Published
- 2017
- Full Text
- View/download PDF
7. (Invited) Stress Techniques in Advanced Transistor Architectures: Bulk FinFETs and Implant-Free Quantum Well Transistors
- Author
-
Roger Loo, Jerome Mitard, Hugo Bender, Liesbeth Witters, Benjamin Vincent, Geert Hellings, Mitsuhiro Togo, Kristin De Meyer, S. Yamaguchi, Naoto Horiguchi, T. Chiarella, Andriy Hikavyy, An De Keersgieter, Nadine Collaert, Geert Eneman, Aaron Thean, Abdelkarim Mercha, Paola Favia, and Anabela Veloso
- Subjects
Materials science ,Stress effects ,business.industry ,Transistor ,law.invention ,Stress (mechanics) ,Planar ,law ,Scalability ,Electronic engineering ,Optoelectronics ,Sensitivity (control systems) ,business ,Quantum well - Abstract
Novel device architectures offer improved scalability but come often at the price of increased layout sensitivity and a reduced or changed effectiveness of stressors and gate-last integration schemes. This work focuses on stress effects in n-type FinFETs and p-type Si1-xGex-channel pFETs, and relies mainly on TCAD results. It will be shown that on n-FinFETs, tensile stressed Contact Etch-Stop Layers (t-CESL) are less effective than on planar FETs when a gate-first scheme is used. For gate-last schemes, CESL is as effective as on planar FETs, moreover a strong boost is expected when compared to gate-first schemes. Tensile stressed gates are shown to be an effective stressor on gate-first n-FinFETs, but not on gate-last: in the latter case a slight mobility degradation is predicted. For pFETs with strained Si1-xGex-channels like the Implant-Free Quantum Well (IFQW) FET, it will be shown that elastic relaxation during source/drain recess is an important factor that reduces the effectiveness of Si1 yGey source/drain stressors. For scaled technologies, omitting the source/drain recess altogether and opting for a raised source/drain scheme is preferred. In IFQW pFETs, dependence of the drive current on transistor width is an important concern. It will be shown that a Si1 yGey source/drain reduces the layout dependence of IFQW FETs, an effect that is enhanced further when combined with a gate-last integration scheme.
- Published
- 2012
- Full Text
- View/download PDF
8. Ultrathin EOT high-κ/metal gate devices for future technologies: Challenges, achievements and perspectives (invited)
- Author
-
L.-A. Ragnarsson, T. Y. Hoffmann, Philippe Absil, T. Chiarella, Tom Schram, and M. Togo
- Subjects
Electron mobility ,Materials science ,business.industry ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Atomic layer deposition ,Tantalum nitride ,chemistry ,Physical vapor deposition ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business ,Metal gate ,High-κ dielectric - Abstract
Ultrathin EOT-values are achieved by using optimized processing conditions and interface layer scavenging in metal-gated (TiN and TaN) HfO"2 based planar and bulk-FinFET devices. EOT values down to 4.5A(T"i"n"v~8.5A) in the planar devices and T"i"n"v
- Published
- 2011
- Full Text
- View/download PDF
9. Poly-Silicon Etch with Diluted Ammonia: Application to Replacement Gate Integration Scheme
- Author
-
Jose Ignacio del Agua Borniquel, Pieter Boelen, Christa Vrancken, T. Chiarella, Farid Sebaai, Philippe Absil, Evans Baiya, and Rita Vos
- Subjects
Scheme (programming language) ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Reduction (complexity) ,CMOS ,chemistry ,Gate oxide ,Power consumption ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,General Materials Science ,Node (circuits) ,business ,Metal gate ,computer ,Hardware_LOGICDESIGN ,computer.programming_language - Abstract
With the continuous down scaling features sizes, the need of speed increase and power consumption reduction start to be more and more critical. The classical integration scheme of poly silicon gate on CMOS devices does not meet the requirements of the 45 nm technology node and beyond. On this matter, new materials and different integration flows are being investigated in order to improve the device performance. High-k materials associated with metals are actively investigated as new gate materials in which different integration approaches like metal gate first or metal gate last are proposed [1].
- Published
- 2009
- Full Text
- View/download PDF
10. The future of high-K on pure germanium and its importance for Ge CMOS
- Author
-
G. Raskin, Matty Caymax, Chao Zhao, Bart Onsia, Marc Heyns, Serge Biesemans, B. De Jaeger, Olivier Richard, Paul Mertens, Wilfried Vandervorst, J. Van Steenbergen, P. Mijlemans, Riikka L. Puurunen, M. Meuris, S. Van Elshocht, G. Winderickx, S. Kubicek, E. Van Moorhem, Peter Verheyen, Michel Houssa, Annelies Delabie, Bert Brijs, I. Teerlinck, T. Chiarella, Tom Schram, Thierry Conard, and S. De Gendt
- Subjects
Materials science ,Dopant ,business.industry ,Mechanical Engineering ,chemistry.chemical_element ,Germanium ,Dielectric ,Chemical vapor deposition ,Condensed Matter Physics ,chemistry ,Mechanics of Materials ,Optoelectronics ,General Materials Science ,Metalorganic vapour phase epitaxy ,business ,Metal gate ,Layer (electronics) ,High-κ dielectric - Abstract
A comparison between atomic layer chemical vapor deposition (ALCVD) and metal organic chemical vapor deposition (MOCVD) HfO2 layers on Ge indicate that ALCVD layers have some improved capacitor characteristics. An NH3 pre-treatment was essential to obtain MOS C–V characteristics for the deposited HfO2 layer. We also report for the first time, deep sub-micron Ge pFETs made in a silicon-like process flow with a directly etched metal gate stack on a HfO2 dielectric. The results indicate that for improving Ge devices, more understanding on the dopant diffusion control and the reduction of interface state density will be necessary.
- Published
- 2005
- Full Text
- View/download PDF
11. STI and eSiGe source/drain epitaxy induced stress modeling in 28 nm technology with replacement gate (RMG) process
- Author
-
Doyoung Jang, Marie Garcia Bardon, D. Yakimets, Morin Dehan, Romain Ritzenthaler, Abdelkarim Mercha, Kenichi Miyaguchi, An De Keersgieter, and T. Chiarella
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Epitaxy ,Threshold voltage ,PMOS logic ,law.invention ,Stress (mechanics) ,CMOS ,law ,Logic gate ,Shallow trench isolation ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business - Abstract
As CMOS technology goes into the nanoscale regime, the impact of layout on the device performance becomes increasingly important. In this paper, we propose a physics-based analytical model for Layout Dependent Effects (LDE) due to shallow trench isolation (STI) stress in 28 nm technology using “gate-last” process (Replacement Gate — RMG). The impact of active size and active width are considered and the model links between stress and device parameters such as the mobility and threshold voltage. The model is validated with experimental data. In addition, we investigate the impact of embedded Silicon-Germanium source/drain (eSiGe S/D) stressors in PMOS. Stronger mobility degradation is predicted for small width devices once eSiGe S/D is used. It results in a larger drop of normalized current (μA/μm) (−16%) once compared to transistors without eSiGe (−7%).
- Published
- 2013
- Full Text
- View/download PDF
12. Origin of the low-frequency noise in n-channel FinFETs
- Author
-
T. Chiarella, Christoforos G. Theodorou, T. Hoffman, N. Fasarakis, Charalabos A. Dimitriadis, Gerard Ghibaudo, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Infrasound ,02 engineering and technology ,01 natural sciences ,Spectral line ,law.invention ,Generation–recombination noise ,Depletion region ,law ,0103 physical sciences ,Materials Chemistry ,Flicker noise ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,Physics ,Condensed matter physics ,business.industry ,Transistor ,Electrical engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Burst noise ,N channel ,0210 nano-technology ,business - Abstract
The origin of the low-frequency noise is investigated in n-channel fin-shaped field-effect transistors (FinFETs) in terms of the channel length and fin width. In long-channel and wide fin devices, the spectra are dominated by 1/f noise due to carrier number fluctuation, correlated with mobility fluctuations. In long-channel and narrow fin devices, the spectra are composed of both 1/f and excess generation–recombination (g–r) noise components. Analysis of the g–r noise parameters lead to the conclusion that the g–r noise originates from traps in the sidewall gate oxides and in a depletion region near the sidewall interfaces. In short-channel devices, the spectra show 1/f behavior in the weak inversion described by carrier number fluctuations and g–r noise component in the low drain current region, possibly originating from the source and drain contacts process.
- Published
- 2013
- Full Text
- View/download PDF
13. Deposition of HfO2 on germanium and the impact of surface pretreatments
- Author
-
Stefan Kubicek, M.M. Heyns, J. Van Steenbergen, Thierry Conard, M. Meuris, Bert Brijs, S. De Gendt, Bart Onsia, Matty Caymax, Chao Zhao, S. Van Elshocht, O. Richard, B. De Jaeger, Ivo Teerlinck, and T. Chiarella
- Subjects
Surface diffusion ,Materials science ,Physics and Astronomy (miscellaneous) ,Silicon ,Inorganic chemistry ,chemistry.chemical_element ,Germanium ,Chemical vapor deposition ,chemistry ,Surface roughness ,Metalorganic vapour phase epitaxy ,Composite material ,Layer (electronics) ,Deposition (law) - Abstract
The deposition behavior of HfO2 by metalorganic chemical vapor deposition on germanium has been investigated. HfO2 films can be deposited on Ge with equally good quality as compared to high-k growth on silicon. Surface preparation is very important: compared to an HF-last, NH3 pretreatments result in smoother films with strongly reduced diffusion of germanium in the HfO2 film, resulting in a much better electrical performance. We clearly show that much thinner interfacial layers can be obtained, approximately half the thickness of what is typically found for depositions on silicon, suggesting the possibility of more aggressive equivalent oxide thickness∕leakage scaling.
- Published
- 2004
- Full Text
- View/download PDF
14. Phosphorus doped SiC Source Drain and SiGe channel for scaled bulk FinFETs
- Author
-
M. Demand, Vladimir Machkaoutsan, T. Chiarella, M. Togo, Aaron Thean, G. Boccardi, Naoto Horiguchi, Andriy Hikavyy, S. Brus, Raymond Krom, Roger Loo, Romain Ritzenthaler, S. Locorotondo, S. E. Altamirano, Jan Willem Maes, Geert Mannaert, Geert Eneman, Luigi Pantisano, An De Keersgieter, Jae Woo Lee, John Tolle, and Erik Rosseel
- Subjects
Materials science ,Phonon scattering ,business.industry ,Wide-bandgap semiconductor ,Epitaxy ,Laser ,law.invention ,PMOS logic ,law ,Velocity overshoot ,MOSFET ,Electronic engineering ,Optoelectronics ,business ,NMOS logic - Abstract
A P-SiC (Phosphorus doped Si 1−x C x ) SD (Source Drain) was developed on bulk-Si based nMOS FinFETs (n-FinFETs). P-SiC epitaxial growth on SD provides strain to boost n-FinFET mobility and drive current. Combination of LA (Laser Anneal) and low temperature RTA recovers P-SiC and PSi (Phosphorus doped Si, Si 1-x P x ) strain. A SiGe clad channel on pMOS FinFETs (p-FinFETs) was investigated. Narrower Si fin and SiGe epitaxial growth on fins increase mobility and drive current, which is based on the same carrier transport mechanism as conventional phonon scattering without velocity overshoot around 14nm node.
- Published
- 2012
- Full Text
- View/download PDF
15. RMG Tech. Integration in FinFET Devices
- Author
-
K. Devriendt, G. Boccardi, Romain Ritzenthaler, S. A. Chew, Min-Soo Kim, Patrick Ong, S. Yuichiro, Aaron Thean, T. Chiarella, S. Brus, E. Vecchio, Anabela Veloso, Naoto Horiguchi, S. Locorotondo, and M. Togo
- Subjects
Materials science ,Engineering physics - Published
- 2012
- Full Text
- View/download PDF
16. Scanning spreading resistance microscopy for carrier profiling beyond 32nm node
- Author
-
S. Kolling, Ajay Kumar Kambham, Andreas Schulze, Pierre Eyben, Jay Mody, Geert Eneman, G. Zschatzsch, A. De Keersgieter, T. Chiarella, Wilfried Vandervorst, Naoto Horiguchi, and C. Drijbooms
- Subjects
Materials science ,Spreading resistance profiling ,CMOS ,business.industry ,Logic gate ,Doping ,MOSFET ,Microscopy ,Electronic engineering ,Optoelectronics ,business ,Scaling ,High dynamic range - Abstract
With the continued scaling of CMOS devices down to 32nm node and beyond, device performance is very sensitive to the lateral diffusion mechanisms influencing the effective channel length. Tools are thus, required to measure with sufficient resolution and accuracy the carrier distribution. Scanning spreading resistance microscopy (SSRM) has evolved as a successful carrier-profiling technique with sub-nm resolution, less than 2 nm/decade gradient resolution and high dynamic range 1015 to 1021 cm−3. In this work, we present the approaches (methodology and special test structures) to obtain a 3D-carrier concentration map for FinFET-based devices. We also correlate the results obtained with SSRM for various process conditions and its implications on device performance.
- Published
- 2012
- Full Text
- View/download PDF
17. 3D-carrier profiling in FinFETs using scanning spreading resistance microscopy
- Author
-
T. Chiarella, G. Zschatzsch, Ajay Kumar Kambham, A. De Keersgieter, S. Kolling, Pierre Eyben, C. Drijbooms, Andreas Schulze, Wilfried Vandervorst, Jay Mody, Naoto Horiguchi, Geert Eneman, and T. Y. Hoffmann
- Subjects
Materials science ,Dopant ,Spreading resistance profiling ,business.industry ,Microscopy ,MOSFET ,Doping ,Optoelectronics ,Profiling (information science) ,Nanotechnology ,business ,First order - Abstract
In this work, we demonstrate for the first time 3D-carrier profiling in FinFETs with nm-spatial resolution using SSRM. The results provide information on gate underlap, dopant conformality, source/drain doping profiles. The 3D-carrier profiles as extracted for two different device approaches (extensions vs. extension-less) are conclusive in demonstrating the differences in device performance and are consistent with first order 3D-simulations.
- Published
- 2011
- Full Text
- View/download PDF
18. FinFETs and Their Futures
- Author
-
T. Chiarella, M. Demand, S. Brus, T. Y. Hoffmann, Serge Biesemans, Monique Ercken, Nadine Collaert, Gerd Zschaetzsch, E. Altamirano, Peter Verheyen, Liesbeth Witters, A. De Keersgieter, S. Locorotondo, W. Vandervorst, Rita Rooyackers, Naoto Horiguchi, Bertrand Parvais, Augusto Redolfi, Malgorzata Jurczak, and Anabela Veloso
- Subjects
Hardware_MEMORYSTRUCTURES ,business.industry ,Doping ,Short-channel effect ,Hardware_PERFORMANCEANDRELIABILITY ,Fin (extended surface) ,Controllability ,CMOS ,Stack (abstract data type) ,Hardware_INTEGRATEDCIRCUITS ,Multiple patterning ,Optoelectronics ,Static random-access memory ,business ,Hardware_LOGICDESIGN - Abstract
FinFET is a promising device structure for scaled CMOS logic/memory applications in 22 nm technology and beyond, thanks to its good short channel effect (SCE) controllability and its small variability. Scaled SRAM and analog circuit are promising candidates for finFET applications and some demonstrations for them are already reported. On the other hand, for finFETs production, quite a lot of process challenges are required due to difficult fin/gate patterning in the 3D structure, conformal doping to fin and high access resistance in extremely thin body, etc. The fin/gate patterning can be improved by optimization of patterning stack, patterning scheme and etch chemistry. Alternative doping techniques show good conformal doping in 3D structure in finFETs. High access resistance is reduced by junction optimization and strain boaster technique.
- Published
- 2011
- Full Text
- View/download PDF
19. High-mobility Si1−xGex-channel PFETs: Layout dependence and enhanced scalability, demonstrating 90% performance boost at narrow widths
- Author
-
Andriy Hikavyy, Hugo Bender, Shinji Takeoka, Liesbeth Witters, C. Ortolland, S. Yamaguchi, Paola Favia, R. Krom, Serge Biesemans, Philippe Absil, Masaharu Kobayashi, T. Y. Hoffmann, T. Chiarella, Roger Loo, Jerome Mitard, Wei-E Wang, W. Vandervorst, J. Tseng, and Geert Eneman
- Subjects
Materials science ,Silicon ,business.industry ,Transistor ,Semiconductor device modeling ,Electrical engineering ,chemistry.chemical_element ,law.invention ,Silicon-germanium ,chemistry.chemical_compound ,Nanoelectronics ,chemistry ,law ,Logic gate ,Scalability ,Optoelectronics ,Field-effect transistor ,business - Abstract
This paper is the first to provide a comprehensive study on the layout dependence of scaled Si 1−x Ge x -channel pFETs.
- Published
- 2010
- Full Text
- View/download PDF
20. Impact of thinning and through silicon via proximity on High-k / Metal Gate first CMOS performance
- Author
-
D. Perry, Patrick Jaenen, Silvia Armini, G. Katti, Harold Philipsen, Youssef Travaly, Erik Sleeckx, D. Sabuncuoglu Tezcan, Nancy Heylen, I. Debusschere, N. Minas, G. Van der Plas, Y. Yang, Wouter Ruythooren, Serge Biesemans, P. Asimakopoulos, Chukwudi Okoro, Ming Zhao, Aleksandar Radisic, I. De Wolf, Anne Jourdain, P. Marchal, S. Thangaraju, J. Van Olmen, Philippe Soussan, E. Rohr, Augusto Redolfi, Riet Labie, Abdelkarim Mercha, M. Kostermans, Bart Swinnen, Tom Schram, T. Chiarella, Jun-Seok Cho, Eric Beyne, Shinichi Domae, A. Van Ammel, Dimitrios Velenis, and Michele Stucchi
- Subjects
Front and back ends ,Stress (mechanics) ,Materials science ,CMOS ,Through-silicon via ,business.industry ,Logic gate ,Electrical engineering ,Optoelectronics ,Mixed-signal integrated circuit ,business ,Metal gate ,High-κ dielectric - Abstract
3D integration has the potential to alleviate the performance limitations that CMOS scaling is facing provided that it preserves the integrity of both front end and back end devices and constituting materials. The impact of wafer thinning and of the proximity of through silicon via on active devices, back end structures, ring oscillators and mixed signal circuit are reported for the first time for a High-k/Metal Gate first strained CMOS technology with low-k BEOL. The relative stress induced by the STI and the TSV are measured by micro-Raman spectroscopy. The measured impact of the stress on a sensitive DAC circuit is used to define a safe keep out area.
- Published
- 2010
- Full Text
- View/download PDF
21. Low VT metal-gate/high-k nMOSFETs — PBTI dependence and VT Tune-ability on La/Dy-capping layer locations and Laser annealing conditions
- Author
-
Thomas Witters, L.-A. Ragnarsson, Hongyu Yu, T. Scharm, Marc Aoulaiche, Annelies Delabie, S. Kubicek, M. Mueller, T. Y. Hoffmann, T. Chiarella, Serge Biesemans, Paola Favia, S. Van Elshocht, Philippe Absil, X. P. Wang, Ben Kaczer, Christoph Adelmann, S.Z. Chang, E. Rohr, and H.-J. Cho
- Subjects
Materials science ,business.industry ,Annealing (metallurgy) ,Electrical engineering ,chemistry.chemical_element ,Dielectric ,Oxygen ,chemistry ,Electrode ,MOSFET ,Optoelectronics ,Limiting oxygen concentration ,business ,Metal gate ,High-κ dielectric - Abstract
This paper provides a comprehensive study of the abnormal PBTI behaviors recently observed in La/Dy-capped high-k films in low-VT nMOSFETs. We found that process details in thermal budget (or dielectric intermixing) and oxygen content of the metal trigger the onset of these abnormalities. The DeltaVT relaxation during the PBTI recovery period induced by bulk trapping/de-trapping is believed to be oxygen vacancies related, and can be suppressed either by reducing dielectric intermixing with lower laser anneal powers (La above or below HK), or by increasing the oxygen concentration, i.e., TaCNO metal electrode instead of TaCN (La above HK). Putting La below HK can result in a similar VT tune-ability with less thermal budget for intermixing with the IL (with superior PBTI), without loss of current drive-ability. We propose Ta2C/HK/LaO/IL + LLP anneals as an optimum nFETs stack configuration for practical CMOS integration.
- Published
- 2008
- Full Text
- View/download PDF
22. Low Power CMOS Featuring Dual Work Function FUSI on HfSiON and 17ps Inverter Delay
- Author
-
M. Niwa, Jorge A. Kittl, Christoph Kerner, Howard L. Tigelaar, I. Satoru, T. Chiarella, Aude Rothschild, J. Ramos, Hao Yu, Christa Vrancken, Philippe Absil, T. Y. Hoffmann, Axel Nackaerts, S. Biesemans, M.J.H. van Dal, R. Mitsuhashi, Stephan Brus, Benoit Froment, Anne Lauwers, M. Jurczak, and Anabela Veloso
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Ring oscillator ,law.invention ,PMOS logic ,CMOS ,law ,MOSFET ,Inverter ,Metal gate ,business ,NMOS logic - Abstract
We report record unloaded ring oscillator delay (17ps at VDD = 1.1V and 20pA/mum Ioff) using low power CMOS transistors with Ni-based fully silicided (FUSI) gates on HfSiON. This result comes from two key advancements over our previous report presented in A. Lauwers et al. (2005). First, we have improved the (unstrained) devices Idsat to be 560/245muA/mum for nMOS/pMOS at an Ioff = 20pA/mum and VDD=1.1V. Second, we demonstrate that the use of metal gates enables a reduction of the junction anneal temperature, yielding an Lgmin reduction of 7nm/14nm for nMOS/pMOS over our poly-Si/SiON reference. We also report for the first time that metal gate on HfSiON devices can outperform optimized conventional poly-Si/SiON devices by up to 25% in unloaded ring oscillator speed. Finally, our study shows that there is no intrinsic difference between Ni-FUSI compared to inserted metal gates (TiN, TaN)
- Published
- 2006
- Full Text
- View/download PDF
23. CMOS integration of dual work function phase controlled Ni FUSI with simultaneous silicidation of NMOS (NiSi) and PMOS (Ni-rich silicide) gates on HfSiON
- Author
-
K.G. Anil, S. Biesemans, T. Chiarella, Stefan Kubicek, Philippe Absil, Christa Vrancken, S. Locorotondo, M. Niwa, M. Jurczak, B. Sijmus, Hui Yu, M. de Potter, Jorge A. Kittl, T. Y. Hoffmann, Stephan Brus, Rita Verbeeck, J.-F. de Marneffe, M.J.H. van Dal, Karen Maex, Caroline Demeurisse, Anne Lauwers, M. A. Pawlak, Anabela Veloso, Karl Opsomer, and R. Mitsuhashi
- Subjects
Materials science ,business.industry ,Ring oscillator ,PMOS logic ,Laser linewidth ,chemistry.chemical_compound ,chemistry ,CMOS ,Silicide ,Electronic engineering ,Optoelectronics ,Process window ,Work function ,business ,NMOS logic - Abstract
We demonstrate for the first time CMOS integration of dual WF (work function) metal gates on HfSiON using Ni-phase controlled FUSI. The novel integration scheme that we demonstrate uses our optimized 2-step Ni FUSI process (1) for simultaneous full silicidation of nMOS and pMOS, achieving different Ni/Si ratios on nMOS and pMOS by reduction of the pMOS poly height through a selective and controlled poly etch back prior to gate silicidation. This novel integration scheme offers the advantages of 1) simplicity (same Ni deposition and silicidation process on nMOS and pMOS), 2) large process window for poly etch-back process (same pMOS characteristics for poly thickness variation of 50%), 3) WF and Vt tuning on HfSiON by phase control, with 4) scalable, linewidth independent suitable Vt's for nMOS (0.5 V) and pMOS (-0.3 V), and 5) solves process yield issues of Ni-rich silicides related to volume expansion, stress, filaments and voiding, resulting in a continuous silicide that is nicely confined between the sidewall spacers. Ring oscillator operation was also demonstrated
- Published
- 2006
- Full Text
- View/download PDF
24. Impact of Cu contacts on front-end performance: a projection towards 22nm node
- Author
-
Tushar Mandrekar, G. Van den bosch, G. Beyer, T. Chiarella, J. Ramos, Zs. Tokei, M. Van Hove, Nancy Heylen, R. Schreutelkamp, Steven Demuynck, Axel Nackaerts, and Jan Vaes
- Subjects
Materials science ,Equivalent series resistance ,business.industry ,Transistor ,Contact resistance ,Electrical engineering ,Ring oscillator ,Dissipation ,Electrical contacts ,law.invention ,Gate oxide ,law ,Optoelectronics ,Node (circuits) ,business - Abstract
In this paper, we investigate the impact of replacing tungsten (W) by a Cu-based contact module. Our experiments show that a 50% reduction in contact resistance can be obtained. This is attributed to both the choice of barrier as well as filling material. An increased drive current is measured on narrow transistors with single contacts. The intrinsic gate oxide reliability is not compromised. Results on demonstrator ring oscillator structures show how the parasitic contribution to the transistor series resistance will increasingly impact circuit delay and power dissipation upon scaling. Cu is presented as a viable solution to postpone these effects by at least one node
- Published
- 2006
- Full Text
- View/download PDF
25. Dual Work Function Phase Controlled Ni-FUSI CMOS (NiSi NMOS, Ni2Si or Ni31Si12 PMOS): Manufacturability, Reliability & Process Window Improvement by Sacrificial SiGe Cap
- Author
-
Stefan Kubicek, Jorge A. Kittl, Christoph Kerner, S. Biesemans, Thomas Kauerauf, T. Chiarella, O. Richard, M. Niwa, B. Sijmus, Anabela Veloso, Howard L. Tigelaar, Hugo Bender, Philippe Absil, S. Locorotondo, A. Shickova, Christa Vrancken, Caroline Demeurisse, Stephan Brus, Hui Yu, T. Y. Hoffmann, J.-F. de Marneffe, M. A. Pawlak, M. Jurczak, and Anne Lauwers
- Subjects
Reliability (semiconductor) ,Materials science ,CMOS ,business.industry ,Electronic engineering ,Optoelectronics ,Process window ,Time-dependent gate oxide breakdown ,Wafer ,business ,NMOS logic ,Design for manufacturability ,PMOS logic - Abstract
This work presents the first comprehensive evaluation of the manufacturability and reliability of dual WF phase controlled Ni-FUSI/HfSiON CMOS (NMOS: NiSi; PMOS: Ni2Si and Ni31 Si12 evaluated) for the 45 nm node. RTP1 and poly/spacer height were identified as the most critical process control parameters in our flow. We demonstrate that a novel sacrificial SiGe cap addition to the flow (improved poly-Si/spacer height control) opens the RTP1 process window from ~5degC to ~20degC for gate lengths down to 45nm, making scalable dual WF CMOS Ni-FUSI manufacturable. We demonstrate Vt control with sigma~19mV (including wafer to wafer variation, N=1000, 45 nm devices) for NMOS (NiSi), and sigma~21mV for PMOS. TDDB and NBTI reliability evaluation of NiSi and, for the first time, of Ni2Si and Ni31Si12 was done. ~1V or larger operating voltages (Vop) were extrapolated for a 10 years lifetime. Using a higher back-end thermal budget showed no reliability degradation
- Published
- 2006
- Full Text
- View/download PDF
26. Scalability of Ni FUSI gate processes: phase and Vt control to 30 nm gate lenghts
- Author
-
Stephan Brus, Caroline Demeurisse, A. Lauwers, Stefan Kubicek, M.J.H. van Dal, M. Jurczak, S. Biesemans, M. A. Pawlak, Karen Maex, T. Chiarella, O. Richard, K.G. Anil, Christa Vrancken, A. Veloso, M. Niwa, and Jorge A. Kittl
- Subjects
Materials science ,business.industry ,Fermi level ,Nanotechnology ,PMOS logic ,Laser linewidth ,chemistry.chemical_compound ,symbols.namesake ,chemistry ,Phase (matter) ,MOSFET ,Scalability ,Silicide ,symbols ,Optoelectronics ,business ,High-κ dielectric - Abstract
We demonstrate for the first time the scalability of NiSi and Ni/sub 3/Si FUSI gate processes down to 30 nm gate lengths, with linewidth independent phase and V/sub t/ control. We show that 1-step FUSI is inadequate for NiSi FUSI gates, because it results in incomplete silicidation at low thermal budgets or in a linewidth dependent Ni silicide phase - inducing V/sub t/ shifts - at higher thermal budgets. We show that V/sub t/ and WF shifts are larger on high-K (HfO/sub 2/ (250 mV) or HfSiON (330mV)) than on SiON (110mV) and report Fermi level unpinning for Ni-rich FUSI on high-K. In contrast, we demonstrate the scalability of Ni/sub 3/Si FUSI, with no phase control issues, and report HfSiON Ni/sub 3/Si FUSI PMOS devices with V/sub t/= -0.33 V. Lastly, we show that, for NiSi, phase control down to narrow gate lengths can be obtained with a 2-step FUSI process.
- Published
- 2005
- Full Text
- View/download PDF
27. Experimental evidence of short-channel electron mobility degradation caused by interface charges located at the gate-edge of triple-gate FinFETs.
- Author
-
J. Ramos, E. Augendre, A. Kottantharayil, A. Mercha, E. Simoen, M. Rosmeulen, S. Severi, C. Kerner, T. Chiarella, A. Nackaerts, I. Ferain, T. Hoffmann, M. Jurczak, and S. Biesemans
- Published
- 2006
- Full Text
- View/download PDF
28. Boron Triiodide-Mediated Reduction of Nitroarenes Using Borohydride Reagents.
- Author
-
Ćorković A, Chiarella T, and Williams FJ
- Abstract
The reduction of nitroarenes using KBH
4 and I2 is described. BI3 is generated in situ and was shown to be the active reductant. Conditions were optimized for BI3 generation and then applied to a wide range of nitroarenes, including traditionally challenging substrates. The method constitutes a practical reduction option which produces low-toxicity boric acid and potassium iodide upon workup.- Published
- 2023
- Full Text
- View/download PDF
29. Impact of Nitridation on Bias Temperature Instability and Hard Breakdown Characteristics of SiON MOSFETs.
- Author
-
Tyaginov S, O'Sullivan B, Chasin A, Rawal Y, Chiarella T, de Carvalho Cavalcante CT, Kimura Y, Vandemaele M, Ritzenthaler R, Mitard J, Palayam SV, Reifsnider J, and Kaczer B
- Abstract
We study how nitridation, applied to SiON gate layers, impacts the reliability of planar metal-oxide-semiconductor field effect transistors (MOSFETs) subjected to negative and positive bias temperature instability (N/PBTI) as well as hard breakdown (HBD) characteristics of these devices. Experimental data demonstrate that p-channel transistors with SiON layers characterized by a higher nitrogen concentration have poorer NBTI reliability compared to their counterparts with a lower nitrogen content, while PBTI in n-channel devices is negligibly weak in all samples independently of the nitrogen concentration. The Weibull distribution of HBD fields extracted from experimental data in devices with a higher N density are shifted towards lower values with respect to that measured in MOSFETs, and SiON films have a lower nitrogen concentration. Based on these findings, we conclude that a higher nitrogen concentration results in the aggravation of BTI robustness and HBD characteristics.
- Published
- 2023
- Full Text
- View/download PDF
30. Sidewall crystalline orientation effect of post-treatments for a replacement metal gate bulk fin field effect transistor.
- Author
-
Lee JW, Simoen E, Veloso A, Cho MJ, Boccardi G, Ragnarsson LÅ, Chiarella T, Horiguchi N, Groeseneken G, and Thean A
- Abstract
The crystalline orientation effect is investigated for post-treatments of a replacement metal gate (RMG) p-type bulk fin field effect transistor (FinFET). After post-deposition annealing (PDA) and SF6 plasma treatment, the hole mobility is improved. From low-frequency noise analysis, reduction of the trap density and noise level is observed in PDA- and SF6-plasma-treated devices. (100) sidewall-oriented FinFETs show a lower noise level because of fewer interface traps compared to (110) sidewall-oriented devices. SF6 plasma affects the interface traps, whereas PDA relatively more affects bulk oxide traps for RMG high-k last FinFET.
- Published
- 2013
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.