Search

Your search keyword '"Witters, L."' showing total 467 results

Search Constraints

Start Over You searched for: Author "Witters, L." Remove constraint Author: "Witters, L."
467 results on '"Witters, L."'

Search Results

1. Wafer-level-integrated vertical-waveguide sub-diffraction-limited color splitters

6. FinFETs and Their Futures

7. Low-Voltage Scaled 6T FinFET SRAM Cells

15. DC and RF Characterization of Nano-ridge HBT Technology Integrated on 300 mm Si Substrates

16. Multi-gate devices for the 32 nm technology node and beyond

20. From 5G to 6G: will compound semiconductors make the difference?

21. FinFETs and Their Futures

22. First demonstration of III-V HBTs on 300 mm Si substrates using nano-ridge engineering

23. Understanding and Physical Modeling Superior Hot-Carrier Reliability of Ge pNWFETs

24. Record GmSAT/SSSAT and PBTI Reliability in Si-Passivated Ge nFinFETs by Improved Gate-Stack Surface Preparation

25. TEM investigations of gate-all-around nanowire devices

26. Buried metal line compatible with 3D sequential integration for top tier planar devices dynamic Vth tuning and RF shielding applications

27. High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm LG

28. A record GmSAT/SSSAT and PBTI reliability in Si-passivated Ge nFinFETs by improved gate stack surface preparation

29. First Demonstration of 3D stacked Finfets at a 45nm fin pitch and 110nm gate pitch technology on 300mm wafers

30. Advantage of NW structure in preservation of SRB-induced strain and investigation of off-state leakage in strained stacked Ge NW pFET

31. First Demonstration of Vertically Stacked Gate-All-Around Highly Strained Germanium Nanowire pFETs

32. 3-D Sequential Stacked Planar Devices Featuring Low-Temperature Replacement Metal Gate Junctionless Top Devices With Improved Reliability

33. Key challenges and opportunities for 3D sequential integration

34. Semiconductor Technologies for next Generation Mobile Communications

37. 3D sequential stacked planar devices on 300 mm wafers featuring replacement metal gate junction-less top devices processed at 525°C with improved reliability

38. First demonstration of vertically-stacked Gate-All-Around highly-strained Germanium nanowire p-FETs

39. Sequential 3D: Key integration challenges and opportunities for advanced semiconductor scaling

40. An In-depth Study of High-Performing Strained Germanium Nanowires pFETs

43. Editors' Choice—Epitaxial CVD Growth of Ultra-Thin Si Passivation Layers on Strained Ge Fin Structures

45. The impact of sequential-3D integration on semiconductor scaling roadmap

46. Strained Germanium Gate-All-Around pMOS Device Demonstration Using Selective Wire Release Etch Prior to Replacement Metal Gate Deposition

50. 3D technologies for analog/RF applications

Catalog

Books, media, physical & digital resources