894 results on '"high aspect ratio"'
Search Results
2. Dynamic response analysis of wind turbine tower with high aspect ratio: Wind tunnel tests and CFD simulation
- Author
-
Li, Dong, Sang, Yuan, Lv, Zhihao, Wu, Keda, and Lai, Zhichao
- Published
- 2025
- Full Text
- View/download PDF
3. Singular value decomposition of near-field electromagnetic data for compressing and accelerating deep neural networks in the prediction of geometric parameters for through silicon via array
- Author
-
Chen, Song-En, Su, Eugene, Wang, Chih-Chung, Li, Jia-Han, and Ho, Chao-Ching
- Published
- 2025
- Full Text
- View/download PDF
4. Void-less metallization of high aspect ratio through glass via using electrohydrodynamic drop-on-demand printing
- Author
-
Hussain, Hamza, Khalil, Shaheer Mohiuddin, Cho, Dae-Hyun, and Byun, Doyoung
- Published
- 2024
- Full Text
- View/download PDF
5. Fabrication of high aspect ratio and low taper angle micro-holes utilizing complex water-assisted femtosecond laser drilling
- Author
-
Zhang, Rui, Zhang, Hailong, Ke, Ronghe, and Wang, Xingsheng
- Published
- 2025
- Full Text
- View/download PDF
6. Transonic Aerodynamic Performance Analysis of a CRM Joined-Wing Configuration †.
- Author
-
Hanman, Paul, Yao, Yufeng, and Bouferrouk, Abdessalem
- Subjects
ASPECT ratio (Aerofoils) ,TRANSONIC aerodynamics ,COMPUTATIONAL fluid dynamics ,TRANSPORT planes ,MODEL airplanes - Abstract
This study examines the aerodynamic performance of a joined-wing (JW) aircraft design based on the NASA Common Research Model (CRM), aiming to assess its potential for efficient commercial transport or cargo aircraft at transonic speed (Mach 0.85). The CRM wing, optimised for transonic flight, was transformed into a JW design featuring a high-aspect-ratio main wing. An initial parametric study using the vortex lattice minimum drag panel method identified viable designs. The selected JW configuration, comprising front and rear wings joined by a vertical fin, was analysed using ANSYS Fluent to understand flow interactions and aerodynamic performance. At an angle of attack (AoA) of −1°, the JW design achieved a peak lift-to-drag ratio (L/D) of 17.45, close to the CRM's peak L/D of 19.64 at 2°, demonstrating competitive efficiency. The JW's L/D exceeded the CRM's between AoA −3° and 0.8°, but the CRM performed better above 0.8°, with differences decreasing at a higher AoA. Based on induced drag alone, the JW outperformed the CRM across AoA −3° to 8°, but flow complications restricted its L/D advantage to a small, low AoA range. A strong shock on the vertical fin's inboard side due to high incoming flow speed delayed shock formation on the main wing near the joint. Optimising the vertical fin shape slightly improved L/D, suggesting potential for further enhancements or that other design factors significantly affect JW performance. This study provides insights into JW aerodynamics at transonic speeds, revealing its potential benefits and challenges compared to the CRM design. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
7. Direct laser micro-drilling of high-quality photonic nanojet achieved by optical fiber probe with microcone-shaped tip.
- Author
-
Po-Hung Li, Lieber, Hung, Ting-Yuan, Chen, Wei-Yu, Chung, Hung-Ju, Cheng, Chia-Hsiung, Chang, Tien-Li, Chen, Yu-Bin, Minin, Oleg V., Minin, Igor V., and Liu, Cheng-Yang
- Subjects
- *
SOLID-state lasers , *LASER drilling , *QUALITY factor , *OPTICAL fibers , *MICRO-drilling - Abstract
Photonic nanojet can serve as a powerful tool for direct laser micro-machining based on a non-resonance focusing phenomenon. In this study, we propose a photonic nanojet-based direct micro-drilling technique for polymer material with low-cost and low-power continuous-wave laser. The high-quality photonic nanojet is produced using the microcone-shaped probe tip, which is fabricated by the dynamic chemical etching method. By utilizing laser photonic nanojet triggered thermoplasmonics, the high-aspect-ratio microcavity is fabricated with the low threshold value of laser power. The influences of the photonic nanojet peak intensities and distributions on the drilled microcavities are systematically investigated by the experiments and the finite-difference time-domain simulations. With the continuous-wave solid-state laser at a wavelength of 671 nm, the simulations show that the photonic nanojet with a quality factor of 103 is generated at a distance of ~ 20 μm from the surface of the microcone-shaped tip with a beam waist of 252 nm in the x direction, which could overcome the diffraction limit. The experimental results show that the length and peak intensity of the photonic nanojet have increased considerably in the propagation direction by the microcone-shaped probe tip, which leads to form a deep microcavity in the polymer substrate with an aspect ratio of 5.73. The presented microcone-shaped probe tip has potential applications in processing sub-diffraction features with a high aspect ratio. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
8. Nano-Perforated Silicon Membrane with Monolithically Integrated Buried Cavity.
- Author
-
Kota, Sanjeev Vishal, Thilsted, Anil, Trimarco, Daniel, Pan, Jesper Yue, Hansen, Ole, Hübner, Jörg, Taboryski, Rafael, and Jansen, Henri
- Subjects
NANOFABRICATION ,ETCHING ,SILICON ,DIAMETER - Abstract
A wafer-scale process for fabricating monolithically suspended nano-perforated membranes (NPMs) with integrated support structures into silicon is developed. Existing fabrication methods are suitable for many desired geometries, but face challenges related to mechanical robustness and fabrication complexity. We demonstrate a process that utilizes the cyclic deposit, remove, etch, and multi-step (DREM) process for directional etching of high-aspect-ratio (HAR) 300 nm in diameter nano-pores of 700 nm pitch. Subsequently, a buried cavity beneath the nano-pores is formed by switching to an isotropic etch, which effectively yields a thick NPM. Due to this architecture's flexibility and process robustness, structural parameters such as membrane thickness, diameter, integrated support structures, and cavity height can be adjusted, allowing a wide range of NPM geometries. This work presents NPMs with final thicknesses of 4.5 µm, 6.5 µm, and 12 µm. Detailed steps of this new approach are discussed, including the etching of a through-silicon-via to establish the connection of the NPM to the macro-world. Our approach to fabricating NPMs within single-crystal silicon overcomes some of the limitations of previous methods. Owing to its monolithic design, this NPM architecture permits further enhancements through material deposition, pore size reduction, and surface functionalization, broadening its application potential for corrosive environments, purification and separation processes, and numerous other advanced applications. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
9. Formation of Multiscale Porous Surfaces via Evaporation-Induced Aggregation of Imprinted Nanowires with Highly Viscous Photocurable Materials.
- Author
-
Kim, Myung Seo, Shin, Seungwoo, Kim, Woo Young, Lee, Sang Hoon, Park, Seo Rim, Kim, Seok, and Cho, Young Tae
- Abstract
Numerous structures at the nano and microscale manifest distinctive properties with far-reaching implications across diverse fields, including electronics, electricity, medicine, and surface engineering. Established methods such as nanoimprint lithography, photolithography, and self-assembly play crucial roles in the fabrication of nano- and microstructures; however, they exhibit limitations in generating high-aspect-ratio structures when utilizing high-viscosity photocurable resins. In response to this inherent challenge, we propose a highly cost-effective approach facilitating the direct replication of high-aspect-ratio structures, specifically nanowires, through the utilization of anodized aluminum substrates. This study elucidates the streamlined fabrication process for multiscale porous surfaces achieved through the evaporation-induced integration of solid nanowires printed with high-viscosity photocurable resin. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
10. Fixed- and Free-Mode Flutter Derivatives During Aeroelastic Optimization.
- Author
-
Stanford, Bret K., Thelen, Andrew S., and Jacobson, Kevin E.
- Abstract
Aeroelastic flutter design derivatives may be approximated by ignoring the sensitivity of the structural mode shapes. This fixed-mode derivative is less expensive to compute than the exact free-mode derivative (which accommodates the mode shape dependency) but also may provide inaccurate sensitivities for optimization. This work formulates both fixed- and free-mode flutter derivatives and demonstrates the conditions under which they are equivalent. Two different types of fixed-mode derivatives are also developed in this work. Using a cantilevered-plate demonstration problem with both shape and sizing design parameters, the accuracy of the free-mode derivative and the two fixed-mode derivatives is demonstrated via gradient-based optimization. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
11. Ultrafast Laser Processing for High-Aspect-Ratio Structures.
- Author
-
Qin, Muyang, Zhao, Xinjing, Fan, Hanyue, Leng, Ruizhe, Yu, Yanhao, Li, Aiwu, and Gao, Bingrong
- Subjects
- *
BESSEL beams , *PROCESS capability , *LASERS , *MICROSTRUCTURE - Abstract
Over the past few decades, remarkable breakthroughs and progress have been achieved in ultrafast laser processing technology. Notably, the remarkable high-aspect-ratio processing capabilities of ultrafast lasers have garnered significant attention to meet the stringent performance and structural requirements of materials in specific applications. Consequently, high-aspect-ratio microstructure processing relying on nonlinear effects constitutes an indispensable aspect of this field. In the paper, we review the new features and physical mechanisms underlying ultrafast laser processing technology. It delves into the principles and research achievements of ultrafast laser-based high-aspect-ratio microstructure processing, with a particular emphasis on two pivotal technologies: filamentation processing and Bessel-like beam processing. Furthermore, the current challenges and future prospects for achieving both high precision and high aspect ratios simultaneously are discussed, aiming to provide insights and directions for the further advancement of high-aspect-ratio processing. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
12. 高温共烧陶瓷大深径比通孔填充工艺改良.
- Author
-
刘曼曼, 淦作腾, 杨德明, 马栋栋, 程换丽, 王 杰, 刘冰倩, and 郭志伟
- Abstract
Copyright of Micronanoelectronic Technology is the property of Micronanoelectronic Technology Editorial Office and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use. This abstract may be abridged. No warranty is given about the accuracy of the copy. Users should refer to the original published version of the material for the full abstract. (Copyright applies to all Abstracts.)
- Published
- 2024
- Full Text
- View/download PDF
13. Enhancing Load-Carrying Capacity of Reinforced Concrete Columns with High Aspect Ratio Using Textile-Reinforced Mortar Systems.
- Author
-
Shewale, Manisha, Bahrami, Alireza, Murthi, P., and Chidambaram, R. Siva
- Subjects
CONCRETE columns ,FIBER-reinforced plastics ,REINFORCED concrete ,SUBSTRATES (Materials science) ,MORTAR - Abstract
This research investigates the effectiveness of textile-reinforced mortar (TRM) systems for enhancing the load-carrying capacity of reinforced concrete columns (RCCs) with high aspect ratio. This study focuses on the use of the TRM systems as an alternative to fiber-reinforced polymer (FRP) systems, addressing challenges such as high cost, poor performance at high temperatures, incompatibility with substrate materials, and inability to be applied to damp surfaces. It includes a detailed analysis of the TRM systems' effectiveness through an experimental evaluation, with a particular focus on RCCs having high aspect ratio. The obtained results reveal a significant strength improvement, ranging from 50% to 129%, for RCCs with the aspect ratios decreasing from three to two, with the workmanship contributing to the observed strength enhancement. Achieving a consistent and uniform distribution of the mortar layer, seamlessly aligned with the column surfaces, proves crucial. The study also highlights the importance of the mortar layer thickness, particularly in cases of the reduced aspect ratios. An enhancement of the load-carrying capacity ranges from 3.65% to 8.53%, for the reduction in the aspect ratio from 5 to 4.16 and 3.24, respectively. The confined specimens display varying peak axial strains, exhibiting commendable elastic–plastic behavior with non-linear ascending curves. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
14. Design and Analysis of a High Aspect Ratio Biomimetic Folding Wing Scheme
- Author
-
Zhou, Haiqi, Jia, Qiang, Gao, Hua, Chaari, Fakher, Series Editor, Gherardini, Francesco, Series Editor, Ivanov, Vitalii, Series Editor, Haddar, Mohamed, Series Editor, Cavas-Martínez, Francisco, Editorial Board Member, di Mare, Francesca, Editorial Board Member, Kwon, Young W., Editorial Board Member, Trojanowska, Justyna, Editorial Board Member, Xu, Jinyang, Editorial Board Member, Rui, Xiaoting, editor, and Liu, Caishan, editor
- Published
- 2024
- Full Text
- View/download PDF
15. Numerical Analysis of Transient Induced Flow Through Open Ended Tall Vertical Concentric Annulus
- Author
-
Mustafa, Jawed, Alqaed, Saeed, Husain, Shahid, Siddiqui, M. Altamush, Chaari, Fakher, Series Editor, Gherardini, Francesco, Series Editor, Ivanov, Vitalii, Series Editor, Haddar, Mohamed, Series Editor, Cavas-Martínez, Francisco, Editorial Board Member, di Mare, Francesca, Editorial Board Member, Kwon, Young W., Editorial Board Member, Trojanowska, Justyna, Editorial Board Member, Xu, Jinyang, Editorial Board Member, Siddiqui, Mohammad Altamush, editor, Hasan, Nadeem, editor, and Tariq, Andallib, editor
- Published
- 2024
- Full Text
- View/download PDF
16. Enhanced plasma etching using nonlinear parameter evolution
- Author
-
Arjun Moothedath and Zhong Ren
- Subjects
Inductively coupled plasma (ICP) ,Deep silicon etch ,Through silicon via (TSV) ,High aspect ratio ,Time-multiplex process ,Electronics ,TK7800-8360 ,Technology (General) ,T1-995 - Abstract
This study explores the development and characterization of plasma etching for sub-micron features using a nonlinear evolution of parameter in a three-step cyclic Bosch process. Comparing this nonlinear approach with traditional linear parameter evolution, we aimed to address issues such as bowing at the top of the features and narrowing at the bottom. Constant parameter etching produced tapered profiles, undercutting, and non-uniform scallops due to particle deflection. Linear parameter evolution partially mitigated these problems by balancing etch and deposition cycles and gradually increasing radio frequency power, achieving high selectivity to the photoresist. One nonlinear exponential evolution method resulted in a higher etch rate but caused slight damage to the top-side wall, while the etch depth was reduced. The other nonlinear method balanced the etch and deposition steps more effectively, achieving a comparable etch rate and selectivity to the linear method. Further optimization of this second method led to improved vertical profiles and controlled scallops, achieving greater depth, smoother sidewalls, and higher etch rates. This optimized technique successfully fabricated high aspect ratio periodic sub-micron structures with excellent uniformity across the wafer, demonstrating its potential for achieving even higher aspect ratios with thicker masks.
- Published
- 2024
- Full Text
- View/download PDF
17. Fabrication and formation mechanism of high aspect ratio boron nitride nanosheets prepared by ammonium borane
- Author
-
SONG Quzhi, WANG Bing, DU Yiang, WU Shuang, and WANG Yingde
- Subjects
ammonium borane ,boron nitride nanosheet ,high aspect ratio ,thermal conductivity ,Materials of engineering and construction. Mechanics of materials ,TA401-492 - Abstract
Due to the small size of commercial BN crystal and the unique interlayer interaction of BN, the traditional exfoliate method makes it difficult to fabricate BN nanosheets (BNNSs) with high aspect ratio (> 5000). High aspect ratio BNNSs were obtained by sintering ammonium borane and centrifugal separation of the sintering products. The synthesis mechanism and structure of BN nanosheets were characterized by FTIR, TG, TEM and XRD. The results show that the cross-linking and dehydrogenation of ammonium borane begin when the temperature is over 80 ℃. Hydrogen releasing results in the formation of foam structure during the cross link process of ammonium borune, and finally the inorganic process was completed at 1000 ℃ to obtain the BN foam containing BNNSs. The as-prepared BNNSs are hexagonal crystal structure, with a thickness of about 1.5 nm and an average width of 30 μm. The width-thickness ratio reaches 20000. The high aspect ratio BNNSs were introduced to polyvinyl alcohol (PVA) to prepare the BNNS/PVA composite film. The composite film shows significant improvement in thermal conductivity and mechanical properties, fully explains the application advantages of high aspect ratio BNNSs in the field of BN based composites.
- Published
- 2024
- Full Text
- View/download PDF
18. Transonic Aerodynamic Performance Analysis of a CRM Joined-Wing Configuration
- Author
-
Paul Hanman, Yufeng Yao, and Abdessalem Bouferrouk
- Subjects
joined wing ,high aspect ratio ,computational fluid dynamics ,common research model ,vortex lattice minimum drag ,transonic aerodynamics ,Thermodynamics ,QC310.15-319 ,Descriptive and experimental mechanics ,QC120-168.85 - Abstract
This study examines the aerodynamic performance of a joined-wing (JW) aircraft design based on the NASA Common Research Model (CRM), aiming to assess its potential for efficient commercial transport or cargo aircraft at transonic speed (Mach 0.85). The CRM wing, optimised for transonic flight, was transformed into a JW design featuring a high-aspect-ratio main wing. An initial parametric study using the vortex lattice minimum drag panel method identified viable designs. The selected JW configuration, comprising front and rear wings joined by a vertical fin, was analysed using ANSYS Fluent to understand flow interactions and aerodynamic performance. At an angle of attack (AoA) of −1°, the JW design achieved a peak lift-to-drag ratio (L/D) of 17.45, close to the CRM’s peak L/D of 19.64 at 2°, demonstrating competitive efficiency. The JW’s L/D exceeded the CRM’s between AoA −3° and 0.8°, but the CRM performed better above 0.8°, with differences decreasing at a higher AoA. Based on induced drag alone, the JW outperformed the CRM across AoA −3° to 8°, but flow complications restricted its L/D advantage to a small, low AoA range. A strong shock on the vertical fin’s inboard side due to high incoming flow speed delayed shock formation on the main wing near the joint. Optimising the vertical fin shape slightly improved L/D, suggesting potential for further enhancements or that other design factors significantly affect JW performance. This study provides insights into JW aerodynamics at transonic speeds, revealing its potential benefits and challenges compared to the CRM design.
- Published
- 2025
- Full Text
- View/download PDF
19. A High-Aspect-Ratio Deterministic Lateral Displacement Array for High-Throughput Fractionation.
- Author
-
Kottmeier, Jonathan, Wullenweber, Maike S., Kampen, Ingo, Kwade, Arno, and Dietzel, Andreas
- Subjects
REYNOLDS number ,FLOW velocity - Abstract
Future industrial applications of microparticle fractionation with deterministic lateral displacement (DLD) devices are hindered by exceedingly low throughput rates. To enable the necessary high-volume flows, high flow velocities as well as high aspect ratios in DLD devices have to be investigated. However, no experimental studies have yet been conducted on the fractionation of bi-disperse suspensions containing particles below 10 µm with DLD at a Reynolds number (Re) above 60. Furthermore, devices with an aspect ratio of more than 4:1, which require advanced microfabrication, are not known in the DLD literature. Therefore, we developed a suitable process with deep reactive ion etching of silicon and anodic bonding of a glass lid to create pressure-resistant arrays. With a depth of 120 µm and a gap of 23 µm between posts, a high aspect ratio of 6:1 was realized, and devices were investigated using simulations and fractionation experiments. With the two-segmented array of 3° and 7° row shifts, critical diameters of 8 µm and 12 µm were calculated for low Re conditions, but it was already known that vortices behind the posts can shift these values to lower critical diameters. Suspensions with polystyrene particles in different combinations were injected with an overall flow rate of up to 15 mL/min, corresponding to Re values of up to 90. Suspensions containing particle combinations of 2 µm with 10 µm as well as 5 µm with 10 µm were successfully fractionated, even at the highest flow rate. Under these conditions, a slight widening of the displacement position was observed, but there was no further reduction in the critical size as it was for Re = 60. With an unprecedented fractionation throughput of nearly 1 L per hour, entirely new applications are being developed for chemical, pharmaceutical, and recycling technologies. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
20. Jet Electroforming of High-Aspect-Ratio Microcomponents by Periodically Lifting a Necked-Entrance Through-Mask.
- Author
-
Zhang, Yasai, Ming, Pingmei, Zhang, Xinmin, Li, Xinchao, Li, Lunxu, and Yang, Zheng
- Subjects
ELECTROFORMING ,JET planes ,MASS transfer ,FLOW velocity ,SURFACE roughness ,ELECTROPLATING - Abstract
High-aspect-ratio micro- and mesoscale metallic components (HAR-MMMCs) can play some unique roles in quite a few application fields, but their cost-efficient fabrication is significantly difficult to accomplish. To address this issue, this study proposes a necked-entrance through-mask (NTM) periodically lifting electroforming technology with an impinging jet electrolyte supply. The effects of the size of the necked entrance of the through-mask and the jet speed of the electrolyte on electrodeposition behaviors, including the thickness distribution of the growing top surface, deposition defect formation, geometrical accuracy, and electrodeposition rate, are investigated numerically and experimentally. Ensuring an appropriate size of the necked entrance can effectively improve the uniformity of deposition thickness, while higher electrolyte flow velocities help enhance the density of the components under higher current densities, reducing the formation of deposition defects. It was shown that several precision HAR-MMMCs with an AR of 3.65 and a surface roughness (Ra) of down to 36 nm can be achieved simultaneously with a relatively high deposition rate of 3.6 μm/min and thickness variation as low as 1.4%. Due to the high current density and excellent mass transfer effects in the electroforming conditions, the successful electroforming of components with a Vickers microhardness of up to 520.5 HV was achieved. Mesoscale precision columns with circular and Y-shaped cross-sections were fabricated by using this modified through-mask movable electroforming process. The proposed NTM periodic lifting electroforming method is promisingly advantageous in fabricating precision HAR-MMMCs cost-efficiently. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
21. Aerostructural Optimization and Comparative Study of Twin-Fuselage and Strut-Braced-Wing Aircraft Configurations.
- Author
-
Yiyuan Ma, Abouhamzeh, Morteza, and Elham, Ali
- Abstract
The ultrahigh-aspect-ratio wing (UHARW) concept is a promising configuration to achieve future sustainable aviation goals. Twin-fuselage (TF) and strut-braced-wing (SBW) configurations are characterized by smaller structural bending moments and shear forces in the wing and are promising concepts for realizing UHARW designs. This paper addresses the aerostructural optimization problem of TF and SBW configurations with UHARW by using a coupled adjoint aerostructural optimization tool, which is composed of a geometrically nonlinear structural solver and a quasi-three-dimensional natural laminar flow (NLF) aerodynamic solver. The optimization results show significant improvements in fuel efficiency and performance for the TF and SBW aircraft, with fuel mass reductions of 13 and 10%, respectively, compared to the corresponding baseline aircraft designed in the conceptual design phase. In comparison to the original reference aircraft A320neo, the optimized TF and SBW have 48 and 31% lower fuel weights, respectively. The NLF range of both upper and lower wing surfaces is expanded during optimization. The optimized SBW configuration has a wing aspect ratio of 26.01, while the optimized TF has a wing aspect ratio of 20.74, indicating that the SBW concept is more conducive to realizing UHARW design compared with the TF configuration studied in this work. The optimized TF aircraft has a lighter fuel weight and gross weight compared to the optimized SBW aircraft, which is because the TF aircraft has a lighter operational empty weight, including a lighter fuselage structural weight, landing gear weight, etc., whereas the top-level aircraft requirements are the same for both aircraft, including range, payload, and cruise Mach. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
22. 面向高深宽比微细嵌入式金属网格结构的 选择性镀铜工艺.
- Author
-
胡 睿, 潘艳桥, 杨 翊, and 宝丽
- Abstract
Copyright of Micronanoelectronic Technology is the property of Micronanoelectronic Technology Editorial Office and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use. This abstract may be abridged. No warranty is given about the accuracy of the copy. Users should refer to the original published version of the material for the full abstract. (Copyright applies to all Abstracts.)
- Published
- 2024
- Full Text
- View/download PDF
23. 氨硼烷制备的高宽厚比氮化硼 纳米片及其形成机理.
- Author
-
宋曲之, 王 兵, 杜贻昂, 吴 爽, and 王应德
- Abstract
Copyright of Journal of Materials Engineering / Cailiao Gongcheng is the property of Journal of Materials Engineering Editorial Office and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use. This abstract may be abridged. No warranty is given about the accuracy of the copy. Users should refer to the original published version of the material for the full abstract. (Copyright applies to all Abstracts.)
- Published
- 2024
- Full Text
- View/download PDF
24. Femtosecond laser drilling 100 μm diameter micro holes with aspect ratios > 20 in a Nickel based superalloy
- Author
-
Nan Zhang, Manshi Wang, Mingxia Ban, Lanjun Guo, and Weiwei Liu
- Subjects
Femtosecond laser ,Micro holes ,Laser spinning drilling ,High aspect ratio ,Mining engineering. Metallurgy ,TN1-997 - Abstract
Micro holes with high aspect ratios are essential for the cooling performance of aero-engines. Challenges persist in deep hole drilling due to the escalating stringent requirements in terms of hole diameters, aspect ratios, and 3D profiles. In this study, we propose a two-step femtosecond laser drilling method to produce cylindrical micro holes with diameters of ∼100 μm, aspect ratios >20, and near-zero taper angle in Inconel 718 plates. In the first drilling period, the laser polarization trepanning method is used, yielding through-holes with aspect ratios >20 but undesired tapers and irregular shapes at the hole exit. A new method called laser spinning is then introduced in the second drilling period, proficiently decreasing taper angles to be
- Published
- 2024
- Full Text
- View/download PDF
25. Spectroscopic Reflectometry for Optimizing 3D Through-Silicon-Vias Process
- Author
-
Yi-Sha Ku, Chun-Wei Lo, Cheng-Kang Lee, Chia-Hung Cho, Wen-Qii Cheah, and Po-Wen Chou
- Subjects
through-silicon via ,spectral reflectometer ,high aspect ratio ,Electronic computers. Computer science ,QA75.5-76.95 ,Applied mathematics. Quantitative methods ,T57-57.97 - Abstract
The main challenges in 3D metrology involve measuring TSVs etched with very high aspect ratios, where the via depth to diameter ratio approaches 10:1–20:1. In this paper, we introduce an innovative approach to enhance our in-house spectroscopic reflectometer module by integrating aperture technology, resulting in a substantial amplification of interference signals. Our system offers the flexibility to conduct measurements on an average number of TSVs, individual TSVs, or specific periodic arrays of TSVs. Additionally, we demonstrate the utility of the spectroscopic reflectometer as a non-destructive, high-speed metrology solution for in-line monitoring of TSV etch uniformity. Through a series of experimental trials in a reactive ion etch (RIE) process, we show that leveraging feedback data from the reflectometer leads to marked improvements in etch depth uniformity.
- Published
- 2023
- Full Text
- View/download PDF
26. Effect of long-length carbon nanotubes on yield, ultimate, dynamic mechanical, and thermal properties of polyamide-6 composites prepared by twin-screw extrusion.
- Author
-
Tripathy, Sangita, Dhakate, S. R., and Singh, Bhanu Pratap
- Subjects
THERMAL properties ,CARBON nanotubes ,MULTIWALLED carbon nanotubes ,CHEMICAL vapor deposition ,DYNAMIC mechanical analysis ,REACTIVE extrusion - Abstract
The extraordinary physical properties of multiwalled carbon nanotubes (MWCNTs) are yet to be fully realised in polyamide-6 (PA6) nanocomposites, due to difficulty in dispersion of MWCNTs within PA6 matrix, owing to high toughness of PA6 and agglomerating properties of MWCNTs. In this study, MWCNTs of high aspect ratio prepared by chemical vapour deposition (CVD) method are melt-mixed with 0.1–0.5 parts-per-hundred ratios (phr) into PA6 matrix by twin-screw extrusion. The high shearing force of co-rotating twin-screws and intermixing of the components along the back-flow channel of extruder assured uniformly dispersed MWCNTs within PA6 system. A 30.2% rise in yield strength and an 82.6% rise in Young's modulus were noticed for 0.1 phr MWCNTs/PA6 tensile specimens over neat PA6 specimens during tensile testing. A strain hardening behaviour was shown by neat PA6, which was persistent in all its composites containing MWCNTs. A distinct trend in storage and loss behaviour, as well as 14 °C and 11 °C rise in glass transition temperatures (T
g ) in loss modulus and loss factor curves, respectively, were observed for 0.5 phr MWCNTs' reinforcement in dynamic mechanical analysis (DMA), which indicated an effective PA6–MWCNTs interaction. The improvements in crystallization and melting temperatures, as well as crystallinity values in differential scanning calorimetry (DSC) indicated nucleating effects of MWCNTs towards stable crystallization of PA6 molecules. The shifting and rise in intensity peaks in XRD and Raman spectroscopy curves supported the reinforcing effect of MWCNTs within PA6 matrix. These nanocomposites are beneficial for fabricating high mechanical and thermal stability-required components in automobiles, aerospace, and biomedicals. [ABSTRACT FROM AUTHOR]- Published
- 2024
- Full Text
- View/download PDF
27. Noise and Jet Momentum of Synthetic Jet Actuators with Different Orifice Configurations.
- Author
-
Arafa, Nadim, Sullivan, Pierre, and Ekmekci, Alis
- Abstract
Sound pressure levels and flow characteristics of a synthetic jet actuator (SJA) are investigated experimentally using the following orifice configurations: a) a slender rectangular slot orifice and b) an array of circular orifices with two different orifice diameters. All configurations have similar total orifice neck area, orifice height, and cavity volume, resulting in a similar Helmholtz frequency. Experiments are conducted for orifices mounted on a flat plate under a quiescent condition. The mean jet velocity exhibits resonant peaks at several excitation frequencies, which also gave rise to the sound pressure levels. The resonant frequencies and peak jet velocities were found to depend on the excitation amplitude and orifice configuration. Investigation of the jet momentum penetration into the quiescent air above the different orifice configurations shows that the momentum issuing from the rectangular slot decays much quicker than that of the circular orifices, irrespective of whether the SJA is excited at resonance or not. The most favorable performance (i.e., the highest momentum in the jet core) was obtained with the array of circular orifices having a smaller orifice diameter, even at off-resonance excitations. The work herein shows the possibility of reducing the SJA noise by 8-10 dB by operating the SJA at a frequency away from the Helmholtz frequency while still achieving comparable levels of jet momentum penetration into the crossflow. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
28. Necking Reduction at Low Temperature in Aspect Ratio Etching of SiO 2 at CF 4 /H 2 /Ar Plasma.
- Author
-
Kwon, Hee-Tae, Bang, In-Young, Kim, Jae-Hyeon, Kim, Hyeon-Jo, Lim, Seong-Yong, Kim, Seo-Yeon, Cho, Seong-Hee, Kim, Ji-Hwan, Kim, Woo-Jae, Shin, Gi-Won, and Kwon, Gi-Chung
- Subjects
- *
LOW temperatures , *ETCHING , *PLASMA spectroscopy , *HYDROGEN plasmas , *EMISSION spectroscopy , *OPTICAL spectroscopy - Abstract
This study investigated the effect of temperature on the aspect-ratio etching of SiO2 in CF4/H2/Ar plasma using patterned samples of a 200 nm trench in a low-temperature reactive-ion etching system. Lower temperatures resulted in higher etch rates and aspect ratios for SiO2. However, the plasma property was constant with the chuck temperature, indicated by the line intensity ratio from optical emission spectroscopy monitoring of the plasma. The variables obtained from the characterization of the etched profile for the 200 nm trench after etching were analyzed as a function of temperature. A reduction in the necking ratio affected the etch rate and aspect ratio of SiO2. The etching mechanism of the aspect ratio etching of SiO2 was discussed based on the results of the surface composition at necking via energy-dispersive X-ray spectroscopy with temperature. The results suggested that the neutral species reaching the etch front of SiO2 had a low sticking coefficient. The bowing ratio decreased with lowering temperature, indicating the presence of directional ions during etching. Therefore, a lower temperature for the aspect ratio etching of SiO2 could achieve a faster etch rate and a higher aspect ratio of SiO2 via the reduction of necking than higher temperatures. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
29. Nonstandard Light for Ultrafast Laser Microstructuring and Nanostructuring
- Author
-
Courvoisier, Francois, Lotsch, H.K.V., Founding Editor, Rhodes, William T., Editor-in-Chief, Adibi, Ali, Series Editor, Asakura, Toshimitsu, Series Editor, Hänsch, Theodor W., Series Editor, Krausz, Ferenc, Series Editor, Masters, Barry R., Series Editor, Midorikawa, Katsumi, Series Editor, Venghaus, Herbert, Series Editor, Weber, Horst, Series Editor, Weinfurter, Harald, Series Editor, Kobayashi, Kazuya, Series Editor, Markel, Vadim, Series Editor, Stoian, Razvan, editor, and Bonse, Jörn, editor
- Published
- 2023
- Full Text
- View/download PDF
30. Enhancing Load-Carrying Capacity of Reinforced Concrete Columns with High Aspect Ratio Using Textile-Reinforced Mortar Systems
- Author
-
Manisha Shewale, Alireza Bahrami, P. Murthi, and R. Siva Chidambaram
- Subjects
reinforced concrete column ,high aspect ratio ,axial strain ,inorganic mortar ,lateral strain ,textile-reinforced mortar ,Building construction ,TH1-9745 - Abstract
This research investigates the effectiveness of textile-reinforced mortar (TRM) systems for enhancing the load-carrying capacity of reinforced concrete columns (RCCs) with high aspect ratio. This study focuses on the use of the TRM systems as an alternative to fiber-reinforced polymer (FRP) systems, addressing challenges such as high cost, poor performance at high temperatures, incompatibility with substrate materials, and inability to be applied to damp surfaces. It includes a detailed analysis of the TRM systems’ effectiveness through an experimental evaluation, with a particular focus on RCCs having high aspect ratio. The obtained results reveal a significant strength improvement, ranging from 50% to 129%, for RCCs with the aspect ratios decreasing from three to two, with the workmanship contributing to the observed strength enhancement. Achieving a consistent and uniform distribution of the mortar layer, seamlessly aligned with the column surfaces, proves crucial. The study also highlights the importance of the mortar layer thickness, particularly in cases of the reduced aspect ratios. An enhancement of the load-carrying capacity ranges from 3.65% to 8.53%, for the reduction in the aspect ratio from 5 to 4.16 and 3.24, respectively. The confined specimens display varying peak axial strains, exhibiting commendable elastic–plastic behavior with non-linear ascending curves.
- Published
- 2024
- Full Text
- View/download PDF
31. High aspect ratio silicon ring-shape micropillars fabricated by deep reactive ion etching with sacrificial structures
- Author
-
Wenhan Hu, Zihao Wang, Aixi Pan, and Bo Cui
- Subjects
Sacrificial structure ,DRIE ,Etching ,High aspect ratio ,Electronics ,TK7800-8360 ,Technology (General) ,T1-995 - Abstract
This paper presents the fabrication of widely-spaced high aspect ratio ring-shape pillars (i.e. hollow pillars). Lateral etching of the pillars during deep reactive ion etching is challenging. To reduce this problem, we proposed adding sacrificial structures surrounding the pillars such that the lateral etching mainly occurs on the sacrificial structures. We designed two different kinds of sacrificial structures, one is circular ring structures surrounding the pillars, the other one is two half circle structures with two small gaps. Both sacrificial structures could help to fabricate pillars with vertical sidewalls. When the width of the sacrificial structures was well designed for a given etching condition, the sacrificial structures could be removed by ultrasonic agitation after the process with clean surface because they had been weakened by the lateral etching. Using this method, 2D widely-spaced ring-shape pillar array with 470 μm high pillars (diameter 200 μm, aspect ratio 2.35) and 370 μm deep holes (diameter 80 μm, aspect ratio 4.63) was fabricated simultaneously.
- Published
- 2024
- Full Text
- View/download PDF
32. Parallel line identification for line-implicit-solvers.
- Author
-
Rempke, Arne
- Abstract
Line-implicit preconditioners are well known in computational fluid dynamics (CFD) solvers and are an essential component to handle meshes with cells of very high aspect ratio (> 1000:1). Such anisotropic cells are commonly used to resolve steep gradients in the boundary layer of a turbulent flow with high Reynolds number. To date, this technique has rarely been used to solve other partial differential equations. We show that the advantages of such preconditioners do not depend on the partial differential equation or discretization used, but also apply to other problems like a node-based mesh deformation with linear elasticity on such meshes. We show the influence of the selection of these lines, and present a new algorithm for identifying lines for line-implicit preconditioners. This new algorithm makes better use of parallel processors and leads to more homogeneous lines. Finally, we see that using the same line-implicit preconditioner, but the new line identification algorithm, even leads to faster convergence for the mesh deformation problem based on linear elasticity. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
33. Spectroscopic Reflectometry for Optimizing 3D Through-Silicon-Vias Process.
- Author
-
Ku, Yi-Sha, Lo, Chun-Wei, Lee, Cheng-Kang, Cho, Chia-Hung, Cheah, Wen-Qii, and Chou, Po-Wen
- Subjects
SPECTROMETRY ,GAIN measurement ,SILICON ,ARTIFICIAL neural networks ,REFLECTOMETER - Abstract
The main challenges in 3D metrology involve measuring TSVs etched with very high aspect ratios, where the via depth to diameter ratio approaches 10:1–20:1. In this paper, we introduce an innovative approach to enhance our in-house spectroscopic reflectometer module by integrating aperture technology, resulting in a substantial amplification of interference signals. Our system offers the flexibility to conduct measurements on an average number of TSVs, individual TSVs, or specific periodic arrays of TSVs. Additionally, we demonstrate the utility of the spectroscopic reflectometer as a non-destructive, high-speed metrology solution for in-line monitoring of TSV etch uniformity. Through a series of experimental trials in a reactive ion etch (RIE) process, we show that leveraging feedback data from the reflectometer leads to marked improvements in etch depth uniformity. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
34. Controlled and Fast Fabrication for P-Type Porous Silicon Structures with a High Aspect Ratio by Electrochemical Etching.
- Author
-
Zhang, Lei, Gao, Kai, Zeng, Zhou, Wang, Kai, Zhao, Chengxiang, Ge, Daohan, and Zhang, Liqiang
- Subjects
ETCHING ,MICROELECTROMECHANICAL systems ,SILICON wafers ,HYDROFLUORIC acid ,ACID solutions - Abstract
In this experiment, electrochemical etching of ordinary P-type monocrystal silicon was firstly studied in a mixed etching solution of hydrofluoric acid (HF) and dimethylformamide (DMF). The rapid electrochemical etching of P-type porous silicon with a high aspect ratio (37–68) and high etching rate (19–28 μm/min) was achieved, and the depth was up to 110 μm. Based on these results, photolithographic treatment was carried out on the surface of P-type monocrystal silicon with medium resistance, and electrochemical etching was carried out on the treated silicon wafer in a mixed HF and DMF etching solution. Finally, high-aspect-ratio (15–41) regular-array P-type porous silicon was successfully obtained at a high etching rate (17–26 μm/min), and rapid preparation of P-type regular-array porous silicon was achieved. This method offers an effective approach for fabricating high-aspect-ratio silicon structures, which is important for microelectromechanical systems (MEMS) design. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
35. Interelectrode gas–liquid-solid three-phase flow analysis and simulation for drilling holes with high aspect ratio by micro-EDM.
- Author
-
Cao, Peiyao, Tong, Hao, Li, Yong, and Chen, Jialong
- Subjects
- *
FLOW simulations , *WORKING fluids , *FLUID flow , *DEBRIS avalanches , *WORKFLOW - Abstract
In micro-electrical discharge machining (micro-EDM) using the non-hollow circular cross-section tool electrode with the side flushing technique, when the aspect ratio of machined micro-hole is expected to be further increased, the discharge debris expelling speed and the working fluid renewal efficiency are weakened, which hinders the improvement of machining efficiency and accuracy with increased machining depth. In order to reveal the flow behavior of the working fluid in the micro-EDM gap, so as to realize the high-precision and high-efficiency machining of micro-hole with high aspect ratio, a three-phase flow simulation model of fluid, bubble, and debris is established in Fluent under the ideal assumption that the spark discharges occur continuously to generate high-pressure bubbles. The simulation results show that when the boundary condition of the flushing pressure at the side gap entrance is set to 0, the pressure wave emitted when the high-pressure bubble expands, which is formed by the instantaneous gasification of the working fluid between electrodes under high temperature, is the source of pneumatic force that drives the working fluid flow at the micron scale. Affected by the gap flow channel structure and the viscous resistance from inner wall, the flow velocity direction of the fluid dragging the discharge debris to rise up and expel will change, forming a dynamic alternation process of flowing into and out of the side machining gap entry. As the machining depth increases, due to the energy attenuation of the pressure wave propagating from the bottom gap to the side gap entrance, the expelling speed of the discharge debris decreases exponentially at the side gap entrance, resulting in the reduced machining efficiency and accuracy. However, when the simulated bubble generation frequency is increased to the megahertz level, the expelling efficiency of debris has a step-like improvement. The continuous and high-frequency generation of high-pressure bubbles can maintain a high pressure gradient in the bottom gap, and the discharge debris is able to continuously move upward without falling back to accumulate in the bottom gap, which is beneficial to the stable and smooth machining process, realizing the high-precision and high-efficiency machining of micro-hole with high aspect ratio. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
36. Effect of Aspect Ratio on Swept-Wing Dynamic Stall.
- Author
-
Hammer, Patrick R., Garmann, Daniel J., and Visbal, Miguel R.
- Abstract
The role of aspect ratio on the dynamic stall process of swept finite wings is investigated using high-fidelity implicit large-eddy simulations. Two aspect ratios (ARs=4 and 8) are explored for a 30 deg swept wing (NACA 0012) pitching sinusoidally from an initial incidence of 4 deg to a maximum angle of attack of 22 deg with a reduced frequency of π/16 over one pitching cycle. The flow is simulated at a chord Reynolds number of 2.0×105 and a freestream Mach number of 0.1. The unsteady three-dimensional flowfield for the higher-aspect-ratio wing showed similarity with the lower-aspect-ratio wing through the initial flow separation at the leading edge. Motion-induced effects promoted earlier initiation of the unsteady vortical structures at higher aspect ratios. The vortex tube at the larger span underwent significant distortion, which contrasted with the Λ vortex observed at the lower span. The vortical structure eventually interacted with the trailing-edge vortex, which was not observed at AR=4. Examination of the unsteady loads detailed a larger lift slope, mean values, peak values, and earlier stall as the aspect ratio increased. Analysis of the aerodynamic pitch damping suggests the AR=8 wing is less susceptible to local torsional instabilities than the AR=4 wing. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
37. Effects of Aspect Ratio on Vortex Dynamics in Starting Rectangular Jets.
- Author
-
Haojun Zheng, Lei Gao, and Yu, Simon C. M.
- Abstract
The vortex dynamics of starting rectangular jets with aspect ratios of 1, 4, 8, and 10 is investigated numerically at Reynolds number of 1000. The 45°-axis-switching for the square vortex ring and 90°-axis-switching for the rectangular vortex ring are found to be controlled by the secondary flow induced by the ring deformation. Vortex stretching appears near both the x-y and x-z centerline planes, which is weakened by the increasing aspect ratio. Vortex ring pinch-off on both centerline planes can be identified by the magnitude of the vorticity flux delivered into the vortex ring. The dimensionless time for pinch-off (the formation numbers) for the square jet is at about 2.0 on both diagonal plane and midplane. For the rectangular jets, the formation number is reduced from 2.3 to 1.5 on the major axis plane by increasing aspect ratio, while it approaches 1.7 on the minor axis plane, which is independent of the aspect ratio. Our results suggest that the vortex deformation accelerates the pinch-off process on both the major and minor axis planes. In particular, the appearance of secondary vortices on the major plane and the arc-shaped vortices on the minor plane signals the onset of pinch-off. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
38. Determination of the critical velocity of a straight wing with a high aspect ratio
- Author
-
Le Thi Thanh
- Subjects
thin rod ,divergence ,linear aerodynamics ,straight wing ,high aspect ratio ,critical velocity ,Mechanical engineering and machinery ,TJ1-1570 - Abstract
An aerodynamic problem on an air flow around a large aspect ratio rectangular wing is investigated in this study. According to the theory of Vlasov, the wing is considered to be a thin rod. External loads are assumed to be proportional to the airfoil angle of attack related to the dimensionless coefficient of the lift and the pitching moment coefficient. These coefficients depend on the airfoil parameters and the Mach number M and are determined by experimental measurements for subsonic and supersonic velocities. In this case, to define the unstable cases of the wing, one bases on the Lyapunov stability theory. Equations of bending and torsional free vibrations have resulted. Based on the analysis of natural frequencies (eigenfrequencies), it is possible to determine the changing positions of the real part and the imaginary part of the characteristic equation solution. These positions can cause instabilities for the wing such as torsional divergence and flutter.
- Published
- 2023
- Full Text
- View/download PDF
39. Fabrication of Neodymium (Nd), Cadmium (Cd) and Nd:Cd doped hybrid copper oxide nanocomposites: Evaluation of their antibacterial activity and cytotoxicity against human L132 cell line.
- Author
-
Gopinath, Kasi, Gnanasekar, Sathishkumar, Al-Ghanim, Khalid A., Nicoletti, Marcello, Govindarajan, Marimuthu, Arumugam, Ayyakannu, Balalakshmi, Chinnasamy, and Thanakkasaranee, Sarinthip
- Subjects
- *
HEAVY metals , *ANTIBACTERIAL agents , *CELL lines , *NEODYMIUM , *ESCHERICHIA coli , *COPPER oxide , *COPPER - Abstract
In the present study, Neodymium (Nd), Cadmium (Cd), and the various molar ratios of Nd: Cd doped copper oxide nanocomposites (CuO NCs) were prepared by the co-precipitation method. The as-synthesized Nd, Cd, and Nd:Cd doped CuO NCs [Cu 1-x + y Nd x Cd y O, (x:y = 0.006:0.00, 0.00:0.006, 0.005:0.001, 0.004:0.002, 0.003:0.003, M)] were characterized through various instrumentation techniques such as TGA, UV–Vis–NIR, FTIR, Raman, FL, XRD, ZP, FE-SEM with EDAX elemental mapping, HR-TEM and XPS analyses. Further, the antibacterial activity of doped CuO NCs was tested against Staphylococcus aureus and Escherichia coli. An equal molar ratio of Nd and Cd doped CuO NCs showed excellent antibacterial activity mainly due to the synergistic effect of sufficient Nd3+, Cd2+, and Cu2+ ions releasing ability. Interestingly, the doping effect enhances surface defects and decreases the ability to scavenge free radicals compared to pure CuO nanomaterials. At the same time, the cytotoxicity of NCs was evaluated on the human lung epithelial L132 cell line. Evidently, 75 μg/ml concentration of Nd:Cd doped CuO NCs samples shows 80% viability, which confirms their negligible cytotoxic effect. The Nd:Cd doped CuO NCs (94:3:3) had a high aspect ratio shape, remarkable ion-releasing ability, and biocompatibility while being thermally stable. Because of these qualities, they are well suited for treating bacterial infections in the biomedical area. • Nd and Cd doped CuO NCs was successfully synthesized by co-precipitation method. • The equal molar ratio of Nd and Cd excellently substituted the CuO matrix and extends growth direction [0 1 0] plane. • CuO NCs showed ROS-mediated antibacterial activity in S. aureus and E. coli via releasing Nd3+ and Cd2+ ions. • Cytocompatibility of CuO NCs against L132 cell line opens-up the possibilities for further pre-clinical investigations. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
40. Flexible Transparent Conductive Films of Ag/Cr2O3 Core–Shell Nanowires as Electrodes for Electroluminescent Devices and Heaters.
- Author
-
Jia, Xiuhuai, Zhao, Le, Yang, Pan, An, Wenxing, Wang, Zilan, and Yu, Shihui
- Abstract
Due to their outstanding optoelectronic capabilities, silver nanowires (Ag NWs) are regarded as one of the potential substitutes for ITO electrodes. However, the high aspect ratio of Ag NWs leads to their poor stability in harsh environments and easy oxidation, which are far from the requirements of actual industrial production. Herein, we demonstrate a scalable solution approach for growing a Cr
2 O3 shell on the surface of Ag NWs, which is low cost, has short growth time, and can be prepared at low temperatures without vacuum. The covered Cr2 O3 shells enhance the oxidative stability of the Ag NWs. The optoelectronic characteristics of Ag/Cr2 O3 NW networks remain similar to the original performance Ag NW networks (for example, before covering: 14.8Ω/sq. at 89.4%, after encapsulating: 15.5 Ω/sq. at 89.8%), which indicates that the encapsulation of Cr2 O3 shell enables the preservation of transparency and conductivity of Ag NW networks. More importantly, the Ag/Cr2 O3 NWs maintain good oxidation resistance, thermal stability, and chemical stability under various harsh environments and demonstrated good mechanical stability and flexibility by bending and fatigue tests. Finally, flexible electroluminescent devices and heaters are fabricated from Ag/Cr2 O3 NWs transparent conductive films to verify the practicality of Ag/Cr2 O3 NWs. [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
41. Characterization of Aeroelastic Behavior in a High Aspect Ratio Wing Using Computational and Wind Tunnel Experiments.
- Author
-
Westin, Michelle F., Balthazar, Jose M., da Silva, Roberto G. A., Ribeiro, Mauricio A., and Tusset, Angelo M.
- Subjects
- *
WIND tunnels , *FLUTTER (Aerodynamics) , *CHAOS theory , *UNSTEADY flow , *LYAPUNOV exponents , *NONLINEAR systems - Abstract
The objective of this article is to characterize an aeroelastic system in terms of its dynamical behavior, which could be either chaotic or periodic before, during, and after achieving the flutter velocity. The aeroelastic system shown here is a wing with a high aspect ratio, which leads to a very flexible behavior subjected to unsteady flow. This paper compares the computational and experimental dynamical behavior of an aeroelastic system at the flutter velocity for the different dynamic stall models proposed. To understand the nonlinear behavior of this system, the traditional attractor reconstruction and Lyapunov exponent calculation are compared with the 0–1 test. In addition to this comparison, two dynamic stall semi-empirical models are applied directly to the time history. All these comparisons show that the computational and wind tunnel experiments are in good agreement, and the dynamic behavior usually gives close results for the 0–1 test and Lyapunov exponent. It is concluded that the system presents chaotic behavior when no dynamic stall correction is applied or when Gangwani's correction is applied. However, Boeing–Vertol's correction postpones the chaotic behavior, meaning that the chaotic behavior is only observed for velocities above the flutter. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
42. Synthesis of zirconium boride (ZrB2) rod crystals through salt-assisted boro/carbothermal reduction.
- Author
-
Chen, Zhibo, Suzuki, Tohru S., and Wang, Hailong
- Subjects
- *
ZIRCONIUM boride , *CRYSTALS , *DIFFERENTIAL scanning calorimetry , *TRANSMISSION electron microscopy , *HIGH temperatures - Abstract
High-aspect-ratio ZrB 2 rod crystals were synthesized via a molten-salt-mediated boro/carbothermal reduction using ZrOCl 2 · 8H 2 O/B 4 C/C as the raw material and NaCl as the flux material. This synthesis may improve the poor fracture toughness of monolithic ZrB 2 ceramics. X-ray diffraction and scanning electron microscopy confirmed that the heat-treatment temperature of 1550 °C and the addition of 50 wt% NaCl were the most effective in the preparation of ZrB 2 grains with a high aspect ratio. Thermogravimetric and differential scanning calorimetry was used to study the influence of NaCl addition, which increased the liquid-phase content. Finally, the growth of ZrB 2 grains along the c-axis into rod-like morphology at elevated temperatures was investigated by transmission electron microscopy. This work revealed that the addition of NaCl has a positive effect on the reducing of synthesis temperature and increasing of products particle size. The ZrB 2 rod crystals synthesized have diameters and aspect ratios of approximately 1 μm and 30, respectively. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
43. Dielectric Metalens by Multilayer Nanoimprint Lithography and Solution Phase Epitaxy.
- Author
-
Dunhang Quan, Xuan Liu, Yutao Tang, Hongjun Liu, Siyi Min, Guixin Li, Srivastava, Abhishek Kumar, and Xing Cheng
- Subjects
NANOIMPRINT lithography ,ATOMIC layer deposition ,DIELECTRIC materials ,PLASMA etching ,DIELECTRICS - Abstract
Metasurfaces have ushered in a huge development for their superior ability in manipulating light properties including phase, amplitude, and polarization, which show great potential as alternatives for the refractive optical devices. Recently, many applications of metasurface including metalens have been proposed and investigated, aiming at substituting their refractive counterparts. However, the commonly used fabrication approaches employ electron-beam lithography (EBL) followed by dry etching or atomic layer deposition (ALD) of dielectric materials, which are expensive and inefficient. Besides, dry etching of dielectric materials at sub-100 nm scale with a high aspect ratio is challenging. Herein, a new approach for dielectric metalens fabrication is presented, which combines multilayer nanoimprint lithography and solution phase epitaxy. High aspect ratio ZnO nanopillars with a height-to-diameter ratio of over 7:1 are demonstrated. By using the multilayer nanoimprint lithography, increased aspect ratio nanostructures from shallow imprinting molds are obtained. The highly anisotropic growth characteristic enables nanopillars to grow at a height that exceeds the resist thickness. With this ability, ZnO metalenses are fabricated where the height of nanopillar reaches 1.1 μm, achieving a focusing efficiency of 50%. The process is cost-effective with a high throughput, which can be widely used for many optical applications. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
44. Fabrication of High Aspect Ratio Nano-Channels by Thermal Nano-Imprinting and Parylene Deposition.
- Author
-
Yang, Kun, Yin, Zhifu, and Sun, Lei
- Subjects
PHOTORESISTS - Abstract
A low-cost method of fabrication of high aspect ratio nano-channels by thermal nano-imprinting and Parylene deposition is proposed. SU-8 photoresist nano-channels were first manufactured by thermal nano-imprinting, and Parylene deposition was carried out to reduce the width of the nano-channels and increase the aspect ratio. During the process, the side walls of the SU-8 nano-channels were covered with the Parylene film, reducing the width of the nano-channels, and the depth of the channels increased due to the thickness of the Parylene film deposited on the surface of the SU-8 nano-channels, more so than that at the bottom. The influence of Parylene mass on the size of nano-channels was studied by theoretical analysis and experiments, and the deposition pressure of Parylene was optimized. The final high aspect ratio nano-channels are 46 nm in width and 746 nm in depth, of which the aspect ratio is 16. This simple and efficient method paves the way for the production of high aspect ratio nano-channels. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
45. Jet Electroforming of High-Aspect-Ratio Microcomponents by Periodically Lifting a Necked-Entrance Through-Mask
- Author
-
Yasai Zhang, Pingmei Ming, Xinmin Zhang, Xinchao Li, Lunxu Li, and Zheng Yang
- Subjects
electroforming ,high aspect ratio ,electrodeposition ,necked-entrance through-mask ,jet electroforming ,Mechanical engineering and machinery ,TJ1-1570 - Abstract
High-aspect-ratio micro- and mesoscale metallic components (HAR-MMMCs) can play some unique roles in quite a few application fields, but their cost-efficient fabrication is significantly difficult to accomplish. To address this issue, this study proposes a necked-entrance through-mask (NTM) periodically lifting electroforming technology with an impinging jet electrolyte supply. The effects of the size of the necked entrance of the through-mask and the jet speed of the electrolyte on electrodeposition behaviors, including the thickness distribution of the growing top surface, deposition defect formation, geometrical accuracy, and electrodeposition rate, are investigated numerically and experimentally. Ensuring an appropriate size of the necked entrance can effectively improve the uniformity of deposition thickness, while higher electrolyte flow velocities help enhance the density of the components under higher current densities, reducing the formation of deposition defects. It was shown that several precision HAR-MMMCs with an AR of 3.65 and a surface roughness (Ra) of down to 36 nm can be achieved simultaneously with a relatively high deposition rate of 3.6 μm/min and thickness variation as low as 1.4%. Due to the high current density and excellent mass transfer effects in the electroforming conditions, the successful electroforming of components with a Vickers microhardness of up to 520.5 HV was achieved. Mesoscale precision columns with circular and Y-shaped cross-sections were fabricated by using this modified through-mask movable electroforming process. The proposed NTM periodic lifting electroforming method is promisingly advantageous in fabricating precision HAR-MMMCs cost-efficiently.
- Published
- 2024
- Full Text
- View/download PDF
46. A High-Aspect-Ratio Deterministic Lateral Displacement Array for High-Throughput Fractionation
- Author
-
Jonathan Kottmeier, Maike S. Wullenweber, Ingo Kampen, Arno Kwade, and Andreas Dietzel
- Subjects
deterministic lateral displacement (DLD) ,microfluidics ,high throughput ,high aspect ratio ,size-dependent fractionation ,Mechanical engineering and machinery ,TJ1-1570 - Abstract
Future industrial applications of microparticle fractionation with deterministic lateral displacement (DLD) devices are hindered by exceedingly low throughput rates. To enable the necessary high-volume flows, high flow velocities as well as high aspect ratios in DLD devices have to be investigated. However, no experimental studies have yet been conducted on the fractionation of bi-disperse suspensions containing particles below 10 µm with DLD at a Reynolds number (Re) above 60. Furthermore, devices with an aspect ratio of more than 4:1, which require advanced microfabrication, are not known in the DLD literature. Therefore, we developed a suitable process with deep reactive ion etching of silicon and anodic bonding of a glass lid to create pressure-resistant arrays. With a depth of 120 µm and a gap of 23 µm between posts, a high aspect ratio of 6:1 was realized, and devices were investigated using simulations and fractionation experiments. With the two-segmented array of 3° and 7° row shifts, critical diameters of 8 µm and 12 µm were calculated for low Re conditions, but it was already known that vortices behind the posts can shift these values to lower critical diameters. Suspensions with polystyrene particles in different combinations were injected with an overall flow rate of up to 15 mL/min, corresponding to Re values of up to 90. Suspensions containing particle combinations of 2 µm with 10 µm as well as 5 µm with 10 µm were successfully fractionated, even at the highest flow rate. Under these conditions, a slight widening of the displacement position was observed, but there was no further reduction in the critical size as it was for Re = 60. With an unprecedented fractionation throughput of nearly 1 L per hour, entirely new applications are being developed for chemical, pharmaceutical, and recycling technologies.
- Published
- 2024
- Full Text
- View/download PDF
47. Laser Micro Machining Using Photonic Nanojets
- Author
-
Uenohara, T., Takaya, Y., Michihata, M., Hinduja, Srichand, editor, da Silva Bartolo, Paulo Jorge, editor, Li, Lin, editor, and Jywe, Wen-Yuh, editor
- Published
- 2022
- Full Text
- View/download PDF
48. Comparative Study of Thermal and Plasma-Enhanced Atomic Layer Deposition of Iron Oxide Using Bis(N,N ′-di-butylacetamidinato)iron(II).
- Author
-
Choi, Boyun, Park, Gun-Woo, Jeong, Jong-Ryul, and Jeon, Nari
- Subjects
- *
ATOMIC layer deposition , *FERRIC oxide , *IRON , *IRON oxides , *HEMATITE crystals , *THIN films , *SURFACE roughness - Abstract
Only a few iron precursors that can be used in the atomic layer deposition (ALD) of iron oxides have been examined thus far. This study aimed to compare the various properties of FeOx thin films deposited using thermal ALD and plasma-enhanced ALD (PEALD) and to evaluate the advantages and disadvantages of using bis(N,N′-di-butylacetamidinato)iron(II) as an Fe precursor in FeOx ALD. The PEALD of FeOx films using iron bisamidinate has not yet been reported. Compared with thermal ALD films, PEALD films exhibited improved properties in terms of surface roughness, film density, and crystallinity after they were annealed in air at 500 °C. The annealed films, which had thicknesses exceeding ~ 9 nm, exhibited hematite crystal structures. Additionally, the conformality of the ALD-grown films was examined using trench-structured wafers with different aspect ratios. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
49. The 3D Controllable Fabrication of Nanomaterials with FIB-SEM Synchronization Technology.
- Author
-
Zhao, Lirong, Cui, Yimin, Li, Junyi, Xie, Yuxi, Li, Wenping, and Zhang, Junying
- Subjects
- *
NANOSTRUCTURED materials , *FOCUSED ion beams , *DNA folding , *SYNCHRONIZATION , *SCANNING electron microscopy - Abstract
Nanomaterials with unique structures and functions have been widely used in the fields of microelectronics, biology, medicine, and aerospace, etc. With advantages of high resolution and multi functions (e.g., milling, deposition, and implantation), focused ion beam (FIB) technology has been widely developed due to urgent demands for the 3D fabrication of nanomaterials in recent years. In this paper, FIB technology is illustrated in detail, including ion optical systems, operating modes, and combining equipment with other systems. Together with the in situ and real-time monitoring of scanning electron microscopy (SEM) imaging, a FIB-SEM synchronization system achieved 3D controllable fabrication from conductive to semiconductive and insulative nanomaterials. The controllable FIB-SEM processing of conductive nanomaterials with a high precision is studied, especially for the FIB-induced deposition (FIBID) 3D nano-patterning and nano-origami. As for semiconductive nanomaterials, the realization of high resolution and controllability is focused on nano-origami and 3D milling with a high aspect ratio. The parameters of FIB-SEM and its working modes are analyzed and optimized to achieve the high aspect ratio fabrication and 3D reconstruction of insulative nanomaterials. Furthermore, the current challenges and future outlooks are prospected for the 3D controllable processing of flexible insulative materials with high resolution. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
50. Realization of High Aspect Ratio Anti-reflection Structures via Laser Irradiation inside Liquids.
- Author
-
Yi Zhu, Rui Zhou, and Minghui Hong
- Subjects
SILICON solar cells ,LASER ablation ,ANTIREFLECTIVE coatings ,SILICON wafers ,PULSED lasers ,LIQUIDS ,LASER pulses ,SURFACE structure - Abstract
Anti-reflection structures (ARS) in micro/nano-scales provide remarkable opportunities for broadband and omnidirectional anti-reflection performance. In this work, laser-induced surface structures at a high aspect ratio of 3.4 and a period of 50 µm are firstly created on crystalline silicon wafers by nanosecond pulsed laser ablation in air. Meanwhile, a high aspect ratio of 5.0 structures for enhanced light trapping are realized via laser ablation inside water. Such enhancement is attributed to the limitation of plasma inside water. The processing rate is increased by 2.34 times with the localized chemical etching inside NaOH solution. The average reflection of 3.28% is achieved from 380 to 780 nm, 15% lower than laser ablation in air. Laser ablation with the liquid assistance provides a new method to generate high aspect ratio ARS. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.