Back to Search Start Over

A Low-Cost Unified Design Methodology for Secure Test and Intellectual Property Core Protection.

Authors :
Shafik, Rishad A.
Mathew, Jimson
Pradhan, Dhiraj K.
Source :
IEEE Transactions on Reliability. Dec2015, Vol. 64 Issue 4, p1243-1253. 11p.
Publication Year :
2015

Abstract

On-chip security is an emerging challenge in the design of embedded systems with intellectual property (IP) cores. Traditionally this challenge is addressed using ad hoc design techniques with separate design objectives of secure design for testability (DfT), and IP core protection. However, in this paper, we will argue that such design approaches can incur high costs. Underpinning this argument, we propose a novel design methodology, called Secure TEst and IP core Protection (STEP), which aims to address the joint objective of IP core protection and secure testing. To ensure that this objective is achieved at a low cost, the STEP design methodology employs common key integrated hardware. This hardware is incorporated in the system through an automated design conversion technique, which can be easily merged into the electronic design automation (EDA) tool chain. We evaluate the effectiveness of our proposed design methodology considering various implementations of advanced encryption standard (AES) systems as case studies. We show that our proposed design methodology benefits from design automation with high security, and protection at the cost of low area, and power consumption overheads, when compared with traditional design methodologies. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISSN :
00189529
Volume :
64
Issue :
4
Database :
Academic Search Index
Journal :
IEEE Transactions on Reliability
Publication Type :
Academic Journal
Accession number :
111308870
Full Text :
https://doi.org/10.1109/TR.2015.2464011