Back to Search Start Over

Improvement of via dishing and non-uniformity in TSV chemical mechanical planarization.

Authors :
Rao, Can
Wang, Tongqing
Wang, Jie
Liu, Yuhong
Lu, Xinchun
Source :
Microelectronic Engineering. Feb2016, Vol. 151, p38-46. 9p.
Publication Year :
2016

Abstract

Despite the fact that substantial research has been conducted on the through-silicon via (TSV) formation process during three-dimensional integration, there is still less understanding on the chemical mechanical planarization (CMP) process and post-CMP via dishing control mechanism in TSV fabrication. In this study, we investigated the synergistic effect of mechanical and chemical factors on the CMP performance of TSV, and analyzed the experimental results from different aspects. A high-resolution surface-profile-measuring instrument, combined with Scanning Electron Microscopy (SEM) and other measurements, was used to demonstrate the mechanism of non-uniformity and via dishing, and the experimental results indicate that via dishing and non-uniformity of wafers are strongly mitigated by combining high down force with low down force. Based on numerical analysis, it can be concluded that the solution of the via protrusion issue requires a sufficiently high hydrogen peroxide concentration in order to modify the removal rate selectivity of copper and barrier/oxide. The polishing time should be strictly controlled to prevent oversize dishing or protrusion. Moreover, material removal models for via dishing, based on several factors mentioned above, were established to elucidate the mechanism of via dishing/protrusion formation, and to illustrate the further optimization of the TSV–CMP process. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
01679317
Volume :
151
Database :
Academic Search Index
Journal :
Microelectronic Engineering
Publication Type :
Academic Journal
Accession number :
112053056
Full Text :
https://doi.org/10.1016/j.mee.2015.12.004