Back to Search Start Over

Optimizing Soft Error Reliability Through Scheduling on Heterogeneous Multicore Processors.

Authors :
Naithani, Ajeya
Eyerman, Stijn
Eeckhout, Lieven
Source :
IEEE Transactions on Computers. Jun2018, Vol. 67 Issue 6, p830-846. 17p.
Publication Year :
2018

Abstract

Reliability to soft errors is an increasingly important issue as technology continues to shrink. In this paper, we show that applications exhibit different reliability characteristics on big, high-performance cores versus small, power-efficient cores, and that there is significant opportunity to improve system reliability through reliability-aware scheduling on heterogeneous multicore processors. We monitor the reliability characteristics of all running applications, and dynamically schedule applications to the different core types in a heterogeneous multicore to maximize system reliability. Reliability-aware scheduling improves reliability by 25.4 percent on average (and up to 60.2 percent) compared to performance-optimized scheduling on a heterogeneous multicore processor with two big cores and two small cores, while degrading performance by 6.3 percent only. We also introduce a novel system-level reliability metric for multiprogram workloads on (heterogeneous) multicores. We provide a trade-off analysis among reliability-, power- and performance-optimized scheduling, and evaluate reliability-aware scheduling under performance constraints and for unprotected L1 caches. In addition, we also extend our scheduling mechanisms to multithreaded programs. The hardware cost in support of our reliability-aware scheduler is limited to 296 bytes per core. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISSN :
00189340
Volume :
67
Issue :
6
Database :
Academic Search Index
Journal :
IEEE Transactions on Computers
Publication Type :
Academic Journal
Accession number :
129614864
Full Text :
https://doi.org/10.1109/TC.2017.2779480