Back to Search Start Over

Gate Oxide Short Defect Model in FinFETs.

Authors :
Dibaj, Roya
Al-Khalili, Dhamin
Shams, Maitham
Source :
Journal of Electronic Testing. Jun2018, Vol. 34 Issue 3, p351-362. 12p.
Publication Year :
2018

Abstract

FinFET technology is one of the most promising candidates in replacing planar MOSFET beyond the 22 nm technology node. However, the complexity of FinFET manufacturing process has caused challenges in reliable device testing. Gate oxide short (GOS) is one of the dominant defects that has significant impact on circuit reliability. In this paper, we present a GOS defect model for FinFETs by introducing the defect as a pinhole in the gate oxide of a triangular fin shape structure. The pinholes are represented by small cuboid cuts of various sizes on the fin top and sidewalls along the channel. The 3D Sentaurus TCAD simulation results in the development of an analytical GOS defect model that can be used in circuit-level fault modeling, which leads to generating more realistic test patterns. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
09238174
Volume :
34
Issue :
3
Database :
Academic Search Index
Journal :
Journal of Electronic Testing
Publication Type :
Academic Journal
Accession number :
129685874
Full Text :
https://doi.org/10.1007/s10836-018-5727-8