Back to Search Start Over

Timing-Driven Placement Optimization Facilitated by Timing-Compatibility Flip-Flop Clustering.

Authors :
Mangiras, Dimitrios
Stefanidis, Apostolos
Seitanidis, Ioannis
Nicopoulos, Chrysostomos
Dimitrakopoulos, Giorgos
Source :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems. Sep2020, Vol. 39 Issue 10, p2835-2848. 14p.
Publication Year :
2020

Abstract

Timing-driven placement optimization is applied incrementally in various parts of the flow, together with other timing optimization techniques, to achieve timing closure. In this article, we present a generalized approach for Lagrange-relaxation-based timing optimization that is used to iteratively relocate gates, flip-flops, and local clock buffers (LCBs), with the goal being to reduce the timing violations. Cells are allowed to move within an appropriately positioned search window, the location of which is decided by force-like timing vectors covering both late and early timing violations. The magnitude of these timing vectors is determined by the value of the corresponding Lagrange multipliers. The introduced placement optimization is applied in conjunction with a newly proposed flip-flop clustering algorithm that (re)assigns flip-flops to LCBs, to separate flip-flops with incompatible timing profiles and to facilitate the subsequent timing-optimization steps. The proposed approach is tested on the ICCAD-2015 benchmarks, providing the best overall results when compared to state-of-the-art timing-driven placement techniques. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
02780070
Volume :
39
Issue :
10
Database :
Academic Search Index
Journal :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems
Publication Type :
Academic Journal
Accession number :
146079979
Full Text :
https://doi.org/10.1109/TCAD.2019.2942001