Back to Search Start Over

2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets.

Authors :
Nabeel, Mohammed
Ashraf, Mohammed
Patnaik, Satwik
Soteriou, Vassos
Sinanoglu, Ozgur
Knechtel, Johann
Source :
IEEE Transactions on Computers. Nov2020, Vol. 69 Issue 11, p1611-1625. 15p.
Publication Year :
2020

Abstract

For the first time, we leverage the 2.5D interposer technology to establish system-level security in the face of hardware- and software-centric adversaries. More specifically, we integrate chiplets (i.e., third-party hard intellectual property of complex functionality, like microprocessors) using a security-enforcing interposer. Such hardware organization provides a robust 2.5D root of trust for trustworthy, yet powerful and flexible, computation systems. The security paradigms for our scheme, employed firmly by design and construction, are: 1) stringent physical separation of trusted from untrusted components and 2) runtime monitoring. The system-level activities of all untrusted commodity chiplets are checked continuously against security policiesvia physically separated security features. Aside from the security promises, the good economics of outsourced supply chains are still maintained; the system vendor is free to procure chiplets from the open market, while only producing the interposer and assembling the 2.5D system oneself. We showcase our scheme using the Cortex-M0 core and the AHB-Lite bus by ARM, building a secure 64-core system with shared memories. We evaluate our scheme through hardware simulation, considering different threat scenarios. Finally, we devise a physical-design flow for 2.5D systems, based on commercial-grade design tools, to demonstrate and evaluate our 2.5D root of trust. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
00189340
Volume :
69
Issue :
11
Database :
Academic Search Index
Journal :
IEEE Transactions on Computers
Publication Type :
Academic Journal
Accession number :
146359115
Full Text :
https://doi.org/10.1109/TC.2020.3020777