Back to Search Start Over

OpenTimer v2: A New Parallel Incremental Timing Analysis Engine.

Authors :
Huang, Tsung-Wei
Guo, Guannan
Lin, Chun-Xun
Wong, Martin D. F.
Source :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems. Apr2021, Vol. 40 Issue 4, p776-789. 14p.
Publication Year :
2021

Abstract

Since the first release in 2015, OpenTimer v1 has been used in many industrial and academic projects for analyzing the timing of custom designs. After four-year research and developments, we have announced OpenTimer v2—a major release that efficiently supports: 1) a new task-based parallel incremental timing analysis engine to break through the performance bottleneck of existing loop-based methods; 2) a new application programming interface (API) concept to exploit high degrees of parallelisms; and 3) an enhanced support for industry-standard design formats to improve user experience. Compared with OpenTimer v1, we rearchitect v2 with a modern C++ programming language and advanced parallel computing techniques to largely improve the tool performance and usability. For a particular example, OpenTimer v2 achieved up to 5.33 × speedup over v1 in incremental timing, and scaled higher with increasing cores. Our contributions include both technical innovations and engineering knowledge that are open and accessible to promote timing research in the community. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
02780070
Volume :
40
Issue :
4
Database :
Academic Search Index
Journal :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems
Publication Type :
Academic Journal
Accession number :
149510213
Full Text :
https://doi.org/10.1109/TCAD.2020.3007319