Back to Search Start Over

In-Place FPGA Retiming for Mitigation of Variational Single-Event Transient Faults.

Authors :
Xu, Wenyao
Wang, Jia
Hu, Yu
Lee, Ju-Yueh
Gong, Fang
He, Lei
Sarrafzadeh, Majid
Source :
IEEE Transactions on Circuits & Systems. Part I: Regular Papers. Jun2011, Vol. 58 Issue 6, p1372-1381. 10p.
Publication Year :
2011

Abstract

For anti-fuse or flash-memory-based field-programmable gate arrays (FPGAs), single-event transient (SET)-induced faults are significantly more pronounced than single-event upsets (SEUs). While most existing work studies SEU, this paper proposes a retiming algorithm for mitigating variational SETs (i.e., SETs with different durations and strengths). Considering the reshaping effect of an SET pulse caused by broadening and attenuation during its propagation, SET-aware retiming (SaR) redistributes combinational paths via postlayout retiming and minimizes the possibility that an SET pulse is latched. The SaR problem is formulated as an integer linear programming (ILP) problem and solved efficiently by a progressive ILP approach. In contrast to existing SET-mitigation techniques, the proposed SaR does not change the FPGA architecture or the layout of an FPGA application. Instead, it reconfigures the connection between a flip-flop and an LUT within a programmable logic block. Experimental results show that SaR increases mean-time-to-failure (MTTF) by 78% for variational SETs with a 10-min runtime limit while preserving the clock frequency on ISCAS89 benchmark circuits. To the best of our knowledge, this paper is the first in-depth study on FPGA retiming for SET mitigation. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISSN :
15498328
Volume :
58
Issue :
6
Database :
Academic Search Index
Journal :
IEEE Transactions on Circuits & Systems. Part I: Regular Papers
Publication Type :
Periodical
Accession number :
62338691
Full Text :
https://doi.org/10.1109/TCSI.2010.2094370