Back to Search Start Over

On the Variability in Planar FDSOI Technology: From MOSFETs to SRAM Cells.

Authors :
Mazurier, Jérôme
Weber, Olivier
Andrieu, François
Toffoli, Alain
Rozeau, Olivier
Poiroux, Thierry
Allain, Fabienne
Perreau, Pierre
Fenouillet-Beranger, Claire
Thomas, Olivier
Belleville, Marc
Faynot, Olivier
Source :
IEEE Transactions on Electron Devices. Aug2011, Vol. 58 Issue 8, p2326-2336. 11p.
Publication Year :
2011

Abstract

In this paper, an in-depth variability analysis, i.e., from the threshold voltage VT of metal–oxide–semiconductor field-effect-transistors (MOSFETs) to the static noise margin (SNM) of static random-access memory (SRAM) cells, is presented in fully depleted silicon-on-insulator (FDSOI) technology. The local VT variability \sigmaVT lower than AVT = \1.4\ \mV\cdot\mu\m is demonstrated. We investigated how this good VT variability is reported on the SNM fluctuations \sigmaSNM at the SRAM circuit level. It is found experimentally that \sigmaSNM is correlated directly to the \sigmaVT of SRAM transistors without any impact of the mean SNM value. The contributions of the individual MOSFETs in the SRAM cells have been determined quantitatively by using a homemade Simulation Program with Integrated Circuit Emphasis compact model calibrated on our FDSOI electrical characteristics. The VT variability in n-channel MOSFETs (nMOSFETs) is more critical than that in p-channel MOSFETs for SNM fluctuations, and \sigmaVT in drive nMOSFETs is the key parameter to control for minimizing \sigmaSNM. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISSN :
00189383
Volume :
58
Issue :
8
Database :
Academic Search Index
Journal :
IEEE Transactions on Electron Devices
Publication Type :
Academic Journal
Accession number :
63244843
Full Text :
https://doi.org/10.1109/TED.2011.2157162