Back to Search Start Over

A Gigahertz Digital CMOS Divide-by- N Frequency Divider Based on a State Look-Ahead Structure.

Authors :
Abdel-Hafeez, Saleh
Gordon-Ross, Ann
Source :
Circuits, Systems & Signal Processing. Dec2011, Vol. 30 Issue 6, p1549-1572. 24p.
Publication Year :
2011

Abstract

We present a scalable high-speed divide-by- N frequency divider using only basic digital CMOS circuits. The divider achieves high-speed operation using a novel parallel counter and a pipelined architecture. The parallel counter is based on a state look-ahead component in conjunction with an internal pipeline structure in order to simultaneously trigger all state value updates without a rippling effect. The pipeline latencies are precluded due to the use of a subtractor circuit that 'swallows' any additional cycles. Furthermore, our frequency divider is easily scalable to large divider widths due to the use of modular component architecture. The fan-in and fan-out are independent of the divider width, thus making the structure attractive for regular VLSI implementation and continued technology scaling. We implemented our proposed divider using a 0.15-μm TSMC digital cell library and achieved a maximum operating frequency of 2 GHz, an area of 112 848 μm (900 transistors), and consumed 15.47 mW of power operating at 2 GHz for an 8-bit design, which offers 252 different frequency divisions. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
0278081X
Volume :
30
Issue :
6
Database :
Academic Search Index
Journal :
Circuits, Systems & Signal Processing
Publication Type :
Academic Journal
Accession number :
66353282
Full Text :
https://doi.org/10.1007/s00034-011-9279-8