Back to Search Start Over

Efficient multicast schemes for 3-D Networks-on-Chip.

Authors :
Wang, Xiaohang
Yang, Mei
Jiang, Yingtao
Palesi, Maurizio
Liu, Peng
Mak, Terrence
Bagherzadeh, Nader
Source :
Journal of Systems Architecture. Oct2013, Vol. 59 Issue 9, p693-708. 16p.
Publication Year :
2013

Abstract

Abstract: 3-D Networks-on-Chip (NoCs) have been proposed as a potent solution to address both the interconnection and design complexity problems facing future System-on-Chip (SoC) designs. In this paper, two topology-aware multicast routing algorithms, Multicasting XYZ (MXYZ) and Alternative XYZ (AL+XYZ) algorithms in supporting of 3-D NoC are proposed. In essence, MXYZ is a simple dimension order multicast routing algorithm that targets 3-D NoC systems built upon regular topologies. To support multicast routing in irregular regions, AL+XYZ can be applied, where an alternative output channel is sought to forward/replicate the packets whenever the output channel determined by MXYZ is not available. To evaluate the performance of MXYZ and AL+XYZ, extensive experiments have been conducted by comparing MXYZ and AL+XYZ against a path-based multicast routing algorithm and an irregular region oriented multiple unicast routing algorithm, respectively. The experimental results confirm that the proposed MXYZ and AL+XYZ schemes, respectively, have lower latency and power consumption than the other two routing algorithms, meriting the two proposed algorithms to be more suitable for supporting multicasting in 3-D NoC systems. In addition, the hardware implementation cost of AL+XYZ is shown to be quite modest. [Copyright &y& Elsevier]

Details

Language :
English
ISSN :
13837621
Volume :
59
Issue :
9
Database :
Academic Search Index
Journal :
Journal of Systems Architecture
Publication Type :
Academic Journal
Accession number :
90630560
Full Text :
https://doi.org/10.1016/j.sysarc.2013.06.002